raw layout if components on pcb

This commit is contained in:
nek0 2020-02-16 10:36:53 +01:00
parent 945e14237e
commit f6bd0c9110
4 changed files with 536 additions and 534 deletions

View File

@ -1,224 +1,6 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Audio_PCM5102
#
DEF Audio_PCM5102 U 0 20 Y Y 1 F N
F0 "U" -400 550 50 H V L CNN
F1 "Audio_PCM5102" 150 550 50 H V L CNN
F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -50 750 50 H I C CNN
F3 "" -50 750 50 H I C CNN
ALIAS PCM5101 PCM5102
$FPLIST
TSSOP*4.4x6.5mm*P0.65mm*
$ENDFPLIST
DRAW
S -400 500 400 -600 0 1 10 f
X CPVDD 1 -100 600 100 D 50 50 1 1 P
X DEMP 10 -500 -200 100 R 50 50 1 1 I
X FLT 11 -500 -100 100 R 50 50 1 1 I
X SCK 12 -500 100 100 R 50 50 1 1 I
X BCK 13 -500 200 100 R 50 50 1 1 I
X DIN 14 -500 300 100 R 50 50 1 1 I
X LRCK 15 -500 400 100 R 50 50 1 1 I
X FMT 16 -500 -400 100 R 50 50 1 1 I
X XSMT 17 -500 -300 100 R 50 50 1 1 I
X LDOO 18 500 -400 100 L 50 50 1 1 P
X DGND 19 0 -700 100 U 50 50 1 1 W
X CAPP 2 500 100 100 L 50 50 1 1 P
X DVDD 20 0 600 100 D 50 50 1 1 W
X CPGND 3 -100 -700 100 U 50 50 1 1 W
X CAPM 4 500 -200 100 L 50 50 1 1 P
X VNEG 5 500 -500 100 L 50 50 1 1 P
X OUTL 6 500 400 100 L 50 50 1 1 O
X OUTR 7 500 300 100 L 50 50 1 1 O
X AVDD 8 100 600 100 D 50 50 1 1 W
X AGND 9 100 -700 100 U 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Connector_Barrel_Jack_Switch
#
DEF Connector_Barrel_Jack_Switch J 0 20 Y N 1 F N
F0 "J" 0 210 50 H V C CNN
F1 "Connector_Barrel_Jack_Switch" 0 -200 50 H V C CNN
F2 "" 50 -40 50 H I C CNN
F3 "" 50 -40 50 H I C CNN
$FPLIST
BarrelJack*
$ENDFPLIST
DRAW
A -130 100 25 901 -901 0 1 10 F -130 125 -130 75
A -130 100 25 901 -901 0 1 10 N -130 125 -130 75
S -200 150 200 -150 0 1 10 f
S 145 125 -130 75 0 1 10 F
P 2 0 1 10 50 -90 75 -65 N
P 2 0 1 10 200 100 150 100 N
P 4 0 1 10 200 0 50 0 50 -90 25 -65 N
P 6 0 1 10 -150 -100 -100 -100 -50 -50 0 -100 100 -100 200 -100 N
X ~ 1 300 100 100 L 50 50 1 1 P
X ~ 2 300 -100 100 L 50 50 1 1 P
X ~ 3 300 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Conn_01x04_Male
#
DEF Connector_Conn_01x04_Male J 0 40 Y N 1 F N
F0 "J" 0 200 50 H V C CNN
F1 "Connector_Conn_01x04_Male" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -195 0 -205 1 1 6 F
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
S 34 105 0 95 1 1 6 F
P 2 1 1 6 50 -200 34 -200 N
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
P 2 1 1 6 50 100 34 100 N
X Pin_1 1 200 100 150 L 50 50 1 1 P
X Pin_2 2 200 0 150 L 50 50 1 1 P
X Pin_3 3 200 -100 150 L 50 50 1 1 P
X Pin_4 4 200 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Conn_01x05_Male
#
DEF Connector_Conn_01x05_Male J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Conn_01x05_Male" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -195 0 -205 1 1 6 F
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
S 34 105 0 95 1 1 6 F
S 34 205 0 195 1 1 6 F
P 2 1 1 6 50 -200 34 -200 N
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
P 2 1 1 6 50 100 34 100 N
P 2 1 1 6 50 200 34 200 N
X Pin_1 1 200 200 150 L 50 50 1 1 P
X Pin_2 2 200 100 150 L 50 50 1 1 P
X Pin_3 3 200 0 150 L 50 50 1 1 P
X Pin_4 4 200 -100 150 L 50 50 1 1 P
X Pin_5 5 200 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Micro_SD_Card
#
DEF Connector_Micro_SD_Card J 0 40 Y Y 1 F N
F0 "J" -650 600 50 H V C CNN
F1 "Connector_Micro_SD_Card" 650 600 50 H V R CNN
F2 "" 1150 300 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
microSD*
$ENDFPLIST
DRAW
S -300 -375 -200 -425 0 1 0 F
S -300 -275 -200 -325 0 1 0 F
S -300 -175 -200 -225 0 1 0 F
S -300 -75 -200 -125 0 1 0 F
S -300 25 -200 -25 0 1 0 F
S -300 125 -200 75 0 1 0 F
S -300 225 -200 175 0 1 0 F
S -300 325 -200 275 0 1 0 F
P 6 0 1 10 650 500 650 550 -750 550 -750 -650 650 -650 650 -450 N
P 11 0 1 10 -350 -450 -350 350 -50 350 100 500 150 500 150 450 250 450 300 500 800 500 800 -450 -350 -450 f
X DAT2 1 -900 300 150 R 50 50 1 1 B
X DAT3/CD 2 -900 200 150 R 50 50 1 1 B
X CMD 3 -900 100 150 R 50 50 1 1 I
X VDD 4 -900 0 150 R 50 50 1 1 W
X CLK 5 -900 -100 150 R 50 50 1 1 I
X VSS 6 -900 -200 150 R 50 50 1 1 W
X DAT0 7 -900 -300 150 R 50 50 1 1 B
X DAT1 8 -900 -400 150 R 50 50 1 1 B
X SHIELD 9 800 -600 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Battery_Cell
#
DEF Device_Battery_Cell BT 0 0 N N 1 F N
F0 "BT" 100 100 50 H V L CNN
F1 "Device_Battery_Cell" 100 0 50 H V L CNN
F2 "" 0 60 50 V I C CNN
F3 "" 0 60 50 V I C CNN
DRAW
S -90 70 90 60 0 1 0 F
S -62 47 58 27 0 1 0 F
P 2 0 1 0 0 30 0 0 N
P 2 0 1 0 0 70 0 100 N
P 2 0 1 10 20 135 60 135 N
P 2 0 1 10 40 155 40 115 N
X + 1 0 200 100 D 50 50 1 1 P
X - 2 0 -100 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R
#
DEF Device_R R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "Device_R" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Speaker
#
DEF Device_Speaker LS 0 0 Y N 1 F N
F0 "LS" 50 225 50 H V R CNN
F1 "Device_Speaker" 50 150 50 H V R CNN
F2 "" 0 -200 50 H I C CNN
F3 "" -10 -50 50 H I C CNN
DRAW
S -100 50 40 -150 0 0 10 N
P 4 0 0 10 40 50 140 150 140 -250 40 -150 N
X 1 1 -200 0 100 R 50 50 1 1 I
X 2 2 -200 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# ESP32-footprints-Shem-Lib_ESP32-WROOM
#
DEF ESP32-footprints-Shem-Lib_ESP32-WROOM U 0 40 Y Y 1 F N
@ -271,41 +53,43 @@ X IO33 9 -950 -100 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# LED_SK6812
# fauxie_clock-rescue_+3V3-power
#
DEF LED_SK6812 D 0 10 Y Y 1 F N
F0 "D" 200 225 50 H V R BNN
F1 "LED_SK6812" 50 -225 50 H V L TNN
F2 "LED_SMD:LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm" 50 -300 50 H I L TNN
F3 "" 100 -375 50 H I L TNN
$FPLIST
LED*SK6812*PLCC*5.0x5.0mm*P3.2mm*
$ENDFPLIST
DEF fauxie_clock-rescue_+3V3-power #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "fauxie_clock-rescue_+3V3-power" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
T 0 90 -165 30 0 0 0 RGB Normal 0 C C
S 200 200 -200 -200 0 1 10 f
P 2 0 1 0 50 -140 70 -140 N
P 2 0 1 0 50 -100 70 -100 N
P 2 0 1 0 185 -140 105 -140 N
P 3 0 1 0 90 -100 50 -140 50 -120 N
P 3 0 1 0 90 -60 50 -100 50 -80 N
P 3 0 1 0 145 -40 145 -140 145 -160 N
P 4 0 1 0 185 -60 105 -60 145 -140 185 -60 N
X VSS 1 0 -300 100 U 50 50 1 1 W
X DIN 2 -300 0 100 R 50 50 1 1 I
X VDD 3 0 300 100 D 50 50 1 1 W
X DOUT 4 300 0 100 L 50 50 1 1 O
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# Regulator_Linear_AP7361C-33E
# fauxie_clock-rescue_+5V-power
#
DEF Regulator_Linear_AP7361C-33E U 0 10 Y Y 1 F N
DEF fauxie_clock-rescue_+5V-power #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "fauxie_clock-rescue_+5V-power" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_AP7361C-33E-Regulator_Linear
#
DEF fauxie_clock-rescue_AP7361C-33E-Regulator_Linear U 0 10 Y Y 1 F N
F0 "U" -150 125 50 H V C CNN
F1 "Regulator_Linear_AP7361C-33E" 0 125 50 H V L CNN
F1 "fauxie_clock-rescue_AP7361C-33E-Regulator_Linear" 0 125 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 225 50 H I C CIN
F3 "" 0 -50 50 H I C CNN
ALIAS SPX2920M3-5.0_SOT223 LT1129-3.3_SOT223 LT1129-5.0_SOT223 LM2937xMP AP7361C-10E AP7361C-12E AP7361C-15E AP7361C-18E AP7361C-25E AP7361C-28E AP7361C-33E
$FPLIST
SOT?223*
$ENDFPLIST
@ -317,11 +101,128 @@ X VO 3 300 0 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# Timer_RTC_DS3231M
# fauxie_clock-rescue_Barrel_Jack_Switch-Connector
#
DEF Timer_RTC_DS3231M U 0 20 Y Y 1 F N
DEF fauxie_clock-rescue_Barrel_Jack_Switch-Connector J 0 20 Y N 1 F N
F0 "J" 0 210 50 H V C CNN
F1 "fauxie_clock-rescue_Barrel_Jack_Switch-Connector" 0 -200 50 H V C CNN
F2 "" 50 -40 50 H I C CNN
F3 "" 50 -40 50 H I C CNN
$FPLIST
BarrelJack*
$ENDFPLIST
DRAW
A -130 100 25 901 -901 0 1 10 F -130 125 -130 75
A -130 100 25 901 -901 0 1 10 N -130 125 -130 75
S -200 150 200 -150 0 1 10 f
S 145 125 -130 75 0 1 10 F
P 2 0 1 10 50 -90 75 -65 N
P 2 0 1 10 200 100 150 100 N
P 4 0 1 10 200 0 50 0 50 -90 25 -65 N
P 6 0 1 10 -150 -100 -100 -100 -50 -50 0 -100 100 -100 200 -100 N
X ~ 1 300 100 100 L 50 50 1 1 P
X ~ 2 300 -100 100 L 50 50 1 1 P
X ~ 3 300 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_Battery_Cell-Device
#
DEF fauxie_clock-rescue_Battery_Cell-Device BT 0 0 N N 1 F N
F0 "BT" 100 100 50 H V L CNN
F1 "fauxie_clock-rescue_Battery_Cell-Device" 100 0 50 H V L CNN
F2 "" 0 60 50 V I C CNN
F3 "" 0 60 50 V I C CNN
DRAW
S -90 70 90 60 0 1 0 F
S -62 47 58 27 0 1 0 F
P 2 0 1 0 0 30 0 0 N
P 2 0 1 0 0 70 0 100 N
P 2 0 1 10 20 135 60 135 N
P 2 0 1 10 40 155 40 115 N
X + 1 0 200 100 D 50 50 1 1 P
X - 2 0 -100 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_C-Device
#
DEF fauxie_clock-rescue_C-Device C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "fauxie_clock-rescue_C-Device" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_Conn_01x04_Male-Connector
#
DEF fauxie_clock-rescue_Conn_01x04_Male-Connector J 0 40 Y N 1 F N
F0 "J" 0 200 50 H V C CNN
F1 "fauxie_clock-rescue_Conn_01x04_Male-Connector" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -195 0 -205 1 1 6 F
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
S 34 105 0 95 1 1 6 F
P 2 1 1 6 50 -200 34 -200 N
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
P 2 1 1 6 50 100 34 100 N
X Pin_1 1 200 100 150 L 50 50 1 1 P
X Pin_2 2 200 0 150 L 50 50 1 1 P
X Pin_3 3 200 -100 150 L 50 50 1 1 P
X Pin_4 4 200 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_Conn_01x05_Male-Connector
#
DEF fauxie_clock-rescue_Conn_01x05_Male-Connector J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "fauxie_clock-rescue_Conn_01x05_Male-Connector" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S 34 -195 0 -205 1 1 6 F
S 34 -95 0 -105 1 1 6 F
S 34 5 0 -5 1 1 6 F
S 34 105 0 95 1 1 6 F
S 34 205 0 195 1 1 6 F
P 2 1 1 6 50 -200 34 -200 N
P 2 1 1 6 50 -100 34 -100 N
P 2 1 1 6 50 0 34 0 N
P 2 1 1 6 50 100 34 100 N
P 2 1 1 6 50 200 34 200 N
X Pin_1 1 200 200 150 L 50 50 1 1 P
X Pin_2 2 200 100 150 L 50 50 1 1 P
X Pin_3 3 200 0 150 L 50 50 1 1 P
X Pin_4 4 200 -100 150 L 50 50 1 1 P
X Pin_5 5 200 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_DS3231M-Timer_RTC
#
DEF fauxie_clock-rescue_DS3231M-Timer_RTC U 0 20 Y Y 1 F N
F0 "U" -300 350 50 H V R CNN
F1 "Timer_RTC_DS3231M" 400 350 50 H V R CNN
F1 "fauxie_clock-rescue_DS3231M-Timer_RTC" 400 350 50 H V R CNN
F2 "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" 0 -600 50 H I C CNN
F3 "" 270 50 50 H I C CNN
$FPLIST
@ -348,42 +249,11 @@ X GND 9 0 -400 100 U 50 50 1 1 P N
ENDDRAW
ENDDEF
#
# power_+3V3
# fauxie_clock-rescue_GND-power
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
DEF fauxie_clock-rescue_GND-power #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F1 "fauxie_clock-rescue_GND-power" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
@ -392,4 +262,131 @@ X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_Micro_SD_Card-Connector
#
DEF fauxie_clock-rescue_Micro_SD_Card-Connector J 0 40 Y Y 1 F N
F0 "J" -650 600 50 H V C CNN
F1 "fauxie_clock-rescue_Micro_SD_Card-Connector" 650 600 50 H V R CNN
F2 "" 1150 300 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
microSD*
$ENDFPLIST
DRAW
S -300 -375 -200 -425 0 1 0 F
S -300 -275 -200 -325 0 1 0 F
S -300 -175 -200 -225 0 1 0 F
S -300 -75 -200 -125 0 1 0 F
S -300 25 -200 -25 0 1 0 F
S -300 125 -200 75 0 1 0 F
S -300 225 -200 175 0 1 0 F
S -300 325 -200 275 0 1 0 F
P 6 0 1 10 650 500 650 550 -750 550 -750 -650 650 -650 650 -450 N
P 11 0 1 10 -350 -450 -350 350 -50 350 100 500 150 500 150 450 250 450 300 500 800 500 800 -450 -350 -450 f
X DAT2 1 -900 300 150 R 50 50 1 1 B
X DAT3/CD 2 -900 200 150 R 50 50 1 1 B
X CMD 3 -900 100 150 R 50 50 1 1 I
X VDD 4 -900 0 150 R 50 50 1 1 W
X CLK 5 -900 -100 150 R 50 50 1 1 I
X VSS 6 -900 -200 150 R 50 50 1 1 W
X DAT0 7 -900 -300 150 R 50 50 1 1 B
X DAT1 8 -900 -400 150 R 50 50 1 1 B
X SHIELD 9 800 -600 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_PCM5102-Audio
#
DEF fauxie_clock-rescue_PCM5102-Audio U 0 20 Y Y 1 F N
F0 "U" -400 550 50 H V L CNN
F1 "fauxie_clock-rescue_PCM5102-Audio" 150 550 50 H V L CNN
F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -50 750 50 H I C CNN
F3 "" -50 750 50 H I C CNN
$FPLIST
TSSOP*4.4x6.5mm*P0.65mm*
$ENDFPLIST
DRAW
S -400 500 400 -600 0 1 10 f
X CPVDD 1 -100 600 100 D 50 50 1 1 P
X DEMP 10 -500 -200 100 R 50 50 1 1 I
X FLT 11 -500 -100 100 R 50 50 1 1 I
X SCK 12 -500 100 100 R 50 50 1 1 I
X BCK 13 -500 200 100 R 50 50 1 1 I
X DIN 14 -500 300 100 R 50 50 1 1 I
X LRCK 15 -500 400 100 R 50 50 1 1 I
X FMT 16 -500 -400 100 R 50 50 1 1 I
X XSMT 17 -500 -300 100 R 50 50 1 1 I
X LDOO 18 500 -400 100 L 50 50 1 1 P
X DGND 19 0 -700 100 U 50 50 1 1 W
X CAPP 2 500 100 100 L 50 50 1 1 P
X DVDD 20 0 600 100 D 50 50 1 1 W
X CPGND 3 -100 -700 100 U 50 50 1 1 W
X CAPM 4 500 -200 100 L 50 50 1 1 P
X VNEG 5 500 -500 100 L 50 50 1 1 P
X OUTL 6 500 400 100 L 50 50 1 1 O
X OUTR 7 500 300 100 L 50 50 1 1 O
X AVDD 8 100 600 100 D 50 50 1 1 W
X AGND 9 100 -700 100 U 50 50 1 1 W
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_R-Device
#
DEF fauxie_clock-rescue_R-Device R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "fauxie_clock-rescue_R-Device" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_SK6812-LED
#
DEF fauxie_clock-rescue_SK6812-LED D 0 10 Y Y 1 F N
F0 "D" 200 225 50 H V R BNN
F1 "fauxie_clock-rescue_SK6812-LED" 50 -225 50 H V L TNN
F2 "LED_SMD:LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm" 50 -300 50 H I L TNN
F3 "" 100 -375 50 H I L TNN
$FPLIST
LED*SK6812*PLCC*5.0x5.0mm*P3.2mm*
$ENDFPLIST
DRAW
T 0 90 -165 30 0 0 0 RGB Normal 0 C C
S 200 200 -200 -200 0 1 10 f
P 2 0 1 0 50 -140 70 -140 N
P 2 0 1 0 50 -100 70 -100 N
P 2 0 1 0 185 -140 105 -140 N
P 3 0 1 0 90 -100 50 -140 50 -120 N
P 3 0 1 0 90 -60 50 -100 50 -80 N
P 3 0 1 0 145 -40 145 -140 145 -160 N
P 4 0 1 0 185 -60 105 -60 145 -140 185 -60 N
X VSS 1 0 -300 100 U 50 50 1 1 W
X DIN 2 -300 0 100 R 50 50 1 1 I
X VDD 3 0 300 100 D 50 50 1 1 W
X DOUT 4 300 0 100 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
# fauxie_clock-rescue_Speaker-Device
#
DEF fauxie_clock-rescue_Speaker-Device LS 0 0 Y N 1 F N
F0 "LS" 50 225 50 H V R CNN
F1 "fauxie_clock-rescue_Speaker-Device" 50 150 50 H V R CNN
F2 "" 0 -200 50 H I C CNN
F3 "" -10 -50 50 H I C CNN
DRAW
S -100 50 40 -150 0 0 10 N
P 4 0 0 10 40 50 140 150 140 -250 40 -150 N
X 1 1 -200 0 100 R 50 50 1 1 I
X 2 2 -200 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
#End Library

View File

@ -1,8 +1,8 @@
(kicad_pcb (version 20171130) (host pcbnew 5.1.2)
(kicad_pcb (version 20171130) (host pcbnew 5.1.5)
(general
(thickness 1.6)
(drawings 0)
(drawings 4)
(tracks 0)
(zones 0)
(modules 29)
@ -201,19 +201,19 @@
(add_net "Net-(U3-Pad9)")
)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5DD1EAD6)
(at 99.395 68.065)
(module Resistor_SMD:R_0603_1608Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5E4939F0)
(at 195.5 70.5 315)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5DD5B861)
(attr smd)
(fp_text reference R2 (at 0 -1.43) (layer F.SilkS)
(fp_text reference R2 (at 0 -1.43 135) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 10k (at 0 1.43) (layer F.Fab)
(fp_text value 10k (at 0 1.43 135) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
(fp_text user %R (at 0 0 135) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
@ -226,9 +226,9 @@
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(pad 2 smd roundrect (at 0.7875 0 315) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 50 "Net-(D2-Pad4)"))
(pad 1 smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(pad 1 smd roundrect (at -0.7875 0 315) (size 0.875 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
(at (xyz 0 0 0))
@ -237,18 +237,18 @@
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5DD1EA03)
(at 86.315 74.765)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5E492CF3)
(at 310 35 270)
(descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x04 2.54mm single row")
(path /5DD005F5)
(fp_text reference J7 (at 4.385 -2.27) (layer F.SilkS)
(fp_text reference J7 (at 4.385 -2.27 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x04_Male (at 4.385 9.89) (layer F.Fab)
(fp_text value Conn_01x04_Male (at 4.385 9.89 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 2.77 3.81 90) (layer F.Fab)
(fp_text user %R (at 2.77 3.81) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
@ -319,13 +319,13 @@
(fp_line (start 4.04 8.89) (end 1.5 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1))
(pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 +5V))
(pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /SCL))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /SDA))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl
(at (xyz 0 0 0))
@ -335,17 +335,17 @@
)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5DD1E78A)
(at 86.315 62.515)
(at 240 35 270)
(descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x04 2.54mm single row")
(path /5DCFAA17)
(fp_text reference J6 (at 4.385 -2.27) (layer F.SilkS)
(fp_text reference J6 (at 4.385 -2.27 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x04_Male (at 4.385 9.89) (layer F.Fab)
(fp_text value Conn_01x04_Male (at 4.385 9.89 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 2.77 3.81 90) (layer F.Fab)
(fp_text user %R (at 2.77 3.81) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
@ -416,13 +416,13 @@
(fp_line (start 4.04 8.89) (end 1.5 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1))
(pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 +5V))
(pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /SCL))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /SDA))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl
(at (xyz 0 0 0))
@ -431,18 +431,18 @@
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5DD1E511)
(at 72.915 74.765)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5E492560)
(at 100 35 270)
(descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x04 2.54mm single row")
(path /5DCF5127)
(fp_text reference J5 (at 4.385 -2.27) (layer F.SilkS)
(fp_text reference J5 (at 4.385 -2.27 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x04_Male (at 4.385 9.89) (layer F.Fab)
(fp_text value Conn_01x04_Male (at 4.385 9.89 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 2.77 3.81 90) (layer F.Fab)
(fp_text user %R (at 2.77 3.81) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
@ -513,13 +513,13 @@
(fp_line (start 4.04 8.89) (end 1.5 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1))
(pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 +5V))
(pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /SCL))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /SDA))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl
(at (xyz 0 0 0))
@ -529,17 +529,17 @@
)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5DD1E298)
(at 72.915 62.515)
(at 30 35 270)
(descr "Through hole angled pin header, 1x04, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x04 2.54mm single row")
(path /5DCDEB7C)
(fp_text reference J4 (at 4.385 -2.27) (layer F.SilkS)
(fp_text reference J4 (at 4.385 -2.27 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Conn_01x04_Male (at 4.385 9.89) (layer F.Fab)
(fp_text value Conn_01x04_Male (at 4.385 9.89 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 2.77 3.81 90) (layer F.Fab)
(fp_text user %R (at 2.77 3.81) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
@ -610,13 +610,13 @@
(fp_line (start 4.04 8.89) (end 1.5 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 4.04 -1.27) (end 4.04 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1))
(pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 +5V))
(pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /SCL))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /SDA))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Horizontal.wrl
(at (xyz 0 0 0))
@ -625,16 +625,16 @@
)
)
(module LED_SMD:LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm (layer F.Cu) (tedit 5AA4B263) (tstamp 5DD1DE27)
(at 110.02 63.5)
(module LED_SMD:LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm (layer F.Cu) (tedit 5AA4B263) (tstamp 5E4938FB)
(at 190 75 135)
(descr https://cdn-shop.adafruit.com/product-files/1138/SK6812+LED+datasheet+.pdf)
(tags "LED RGB NeoPixel")
(path /5DD1E4E8)
(attr smd)
(fp_text reference D2 (at 0 -3.5) (layer F.SilkS)
(fp_text reference D2 (at 0 -3.5 135) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SK6812 (at 0 4) (layer F.Fab)
(fp_text value SK6812 (at 0 4 135) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 0 -2) (layer F.Fab) (width 0.1))
@ -650,16 +650,16 @@
(fp_line (start -3.45 2.75) (end 3.45 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.45 2.75) (end 3.45 -2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.45 -2.75) (end -3.45 -2.75) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(fp_text user %R (at 0 0 135) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.15)))
)
(pad 3 smd rect (at -2.45 -1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 3 smd rect (at -2.45 -1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 5 +5V))
(pad 4 smd rect (at -2.45 1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 4 smd rect (at -2.45 1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 50 "Net-(D2-Pad4)"))
(pad 2 smd rect (at 2.45 -1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 2 smd rect (at 2.45 -1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 49 "Net-(D1-Pad4)"))
(pad 1 smd rect (at 2.45 1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 1 smd rect (at 2.45 1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm.wrl
(at (xyz 0 0 0))
@ -669,15 +669,15 @@
)
(module LED_SMD:LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm (layer F.Cu) (tedit 5AA4B263) (tstamp 5DD1DD85)
(at 101.6 63.5)
(at 165 75 135)
(descr https://cdn-shop.adafruit.com/product-files/1138/SK6812+LED+datasheet+.pdf)
(tags "LED RGB NeoPixel")
(path /5DD1D6E4)
(attr smd)
(fp_text reference D1 (at 0 -3.5) (layer F.SilkS)
(fp_text reference D1 (at 0 -3.5 135) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value SK6812 (at 0 4) (layer F.Fab)
(fp_text value SK6812 (at 0 4 135) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 0 -2) (layer F.Fab) (width 0.1))
@ -693,16 +693,16 @@
(fp_line (start -3.45 2.75) (end 3.45 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.45 2.75) (end 3.45 -2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.45 -2.75) (end -3.45 -2.75) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(fp_text user %R (at 0 0 135) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.15)))
)
(pad 3 smd rect (at -2.45 -1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 3 smd rect (at -2.45 -1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 5 +5V))
(pad 4 smd rect (at -2.45 1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 4 smd rect (at -2.45 1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 49 "Net-(D1-Pad4)"))
(pad 2 smd rect (at 2.45 -1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 2 smd rect (at 2.45 -1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 12 /LED_DATA))
(pad 1 smd rect (at 2.45 1.6) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(pad 1 smd rect (at 2.45 1.6 135) (size 1.5 1) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm.wrl
(at (xyz 0 0 0))
@ -711,8 +711,8 @@
)
)
(module Connector_Card:microSD_HC_Hirose_DM3AT-SF-PEJM5 (layer B.Cu) (tedit 5A1DBFB5) (tstamp 5DC4229D)
(at 148 79)
(module Connector_Card:microSD_HC_Hirose_DM3AT-SF-PEJM5 (layer B.Cu) (tedit 5A1DBFB5) (tstamp 5E4936AA)
(at 170 19)
(descr "Micro SD, SMD, right-angle, push-pull (https://www.hirose.com/product/en/download_file/key_name/DM3AT-SF-PEJM5/category/Drawing%20(2D)/doc_file_id/44099/?file_category_id=6&item_id=06090031000&is_series=)")
(tags "Micro SD")
(path /5DC3F3D7)
@ -876,7 +876,7 @@
)
(module Package_TO_SOT_SMD:SOT-223-3_TabPin2 (layer B.Cu) (tedit 5A02FF57) (tstamp 5DC425ED)
(at 59.5 42.65 90)
(at 67 29 270)
(descr "module CMS SOT223 4 pins")
(tags "CMS SOT")
(path /5DC41BA9)
@ -903,13 +903,13 @@
(fp_text user %R (at 0 0 180) (layer B.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror))
)
(pad 1 smd rect (at -3.15 2.3 90) (size 2 1.5) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -3.15 2.3 270) (size 2 1.5) (layers B.Cu B.Paste B.Mask)
(net 5 +5V))
(pad 3 smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers B.Cu B.Paste B.Mask)
(pad 3 smd rect (at -3.15 -2.3 270) (size 2 1.5) (layers B.Cu B.Paste B.Mask)
(net 4 +3V3))
(pad 2 smd rect (at -3.15 0 90) (size 2 1.5) (layers B.Cu B.Paste B.Mask)
(pad 2 smd rect (at -3.15 0 270) (size 2 1.5) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 2 smd rect (at 3.15 0 90) (size 2 3.8) (layers B.Cu B.Paste B.Mask)
(pad 2 smd rect (at 3.15 0 270) (size 2 3.8) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl
(at (xyz 0 0 0))
@ -918,8 +918,8 @@
)
)
(module Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm (layer B.Cu) (tedit 5A02F25C) (tstamp 5DC5269E)
(at 171 107.5)
(module Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm (layer B.Cu) (tedit 5A02F25C) (tstamp 5E49354D)
(at 207 29 180)
(descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
(tags "SSOP 0.65")
(path /5DC52822)
@ -944,45 +944,45 @@
(fp_line (start 2.2 -3.25) (end -2.2 -3.25) (layer B.Fab) (width 0.15))
(fp_line (start 2.2 3.25) (end 2.2 -3.25) (layer B.Fab) (width 0.15))
(fp_line (start -1.2 3.25) (end 2.2 3.25) (layer B.Fab) (width 0.15))
(pad 20 smd rect (at 2.95 2.925) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 20 smd rect (at 2.95 2.925 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 4 +3V3))
(pad 19 smd rect (at 2.95 2.275) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 19 smd rect (at 2.95 2.275 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 18 smd rect (at 2.95 1.625) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 18 smd rect (at 2.95 1.625 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 26 "Net-(C10-Pad2)"))
(pad 17 smd rect (at 2.95 0.975) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 17 smd rect (at 2.95 0.975 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 4 +3V3))
(pad 16 smd rect (at 2.95 0.325) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 16 smd rect (at 2.95 0.325 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 15 smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 15 smd rect (at 2.95 -0.325 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 13 /LRCK))
(pad 14 smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 14 smd rect (at 2.95 -0.975 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 15 /I2SD))
(pad 13 smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 13 smd rect (at 2.95 -1.625 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 14 /BCLK))
(pad 12 smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 12 smd rect (at 2.95 -2.275 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 11 smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 11 smd rect (at 2.95 -2.925 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 10 smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 10 smd rect (at -2.95 -2.925 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 9 smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 9 smd rect (at -2.95 -2.275 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 8 smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 8 smd rect (at -2.95 -1.625 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 4 +3V3))
(pad 7 smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 7 smd rect (at -2.95 -0.975 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 11 "Net-(LS2-Pad1)"))
(pad 6 smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 6 smd rect (at -2.95 -0.325 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 10 "Net-(LS1-Pad1)"))
(pad 5 smd rect (at -2.95 0.325) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 5 smd rect (at -2.95 0.325 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 27 "Net-(C11-Pad1)"))
(pad 4 smd rect (at -2.95 0.975) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 4 smd rect (at -2.95 0.975 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 6 "Net-(C9-Pad2)"))
(pad 3 smd rect (at -2.95 1.625) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 3 smd rect (at -2.95 1.625 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 2 smd rect (at -2.95 2.275) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 2 smd rect (at -2.95 2.275 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 25 "Net-(C9-Pad1)"))
(pad 1 smd rect (at -2.95 2.925) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -2.95 2.925 180) (size 1.45 0.45) (layers B.Cu B.Paste B.Mask)
(net 4 +3V3))
(model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl
(at (xyz 0 0 0))
@ -991,8 +991,8 @@
)
)
(module Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm (layer B.Cu) (tedit 5C97300E) (tstamp 5DC3CFD6)
(at 59 25 180)
(module Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm (layer B.Cu) (tedit 5C97300E) (tstamp 5E4937D2)
(at 221 19.5)
(descr "SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
(tags "SOIC SO")
(path /5DC2811A)
@ -1024,37 +1024,37 @@
(fp_line (start 0 -5.26) (end -3.86 -5.26) (layer B.SilkS) (width 0.12))
(fp_line (start 3.86 -5.26) (end 3.86 -5.005) (layer B.SilkS) (width 0.12))
(fp_line (start 0 -5.26) (end 3.86 -5.26) (layer B.SilkS) (width 0.12))
(pad 16 smd roundrect (at 4.65 4.445 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 16 smd roundrect (at 4.65 4.445) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 17 /SCL))
(pad 15 smd roundrect (at 4.65 3.175 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 15 smd roundrect (at 4.65 3.175) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 16 /SDA))
(pad 14 smd roundrect (at 4.65 1.905 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 14 smd roundrect (at 4.65 1.905) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 2 "Net-(BT1-Pad1)"))
(pad 13 smd roundrect (at 4.65 0.635 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 13 smd roundrect (at 4.65 0.635) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 12 smd roundrect (at 4.65 -0.635 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 12 smd roundrect (at 4.65 -0.635) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 11 smd roundrect (at 4.65 -1.905 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 11 smd roundrect (at 4.65 -1.905) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 10 smd roundrect (at 4.65 -3.175 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 10 smd roundrect (at 4.65 -3.175) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 9 smd roundrect (at 4.65 -4.445 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 9 smd roundrect (at 4.65 -4.445) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 8 smd roundrect (at -4.65 -4.445 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 8 smd roundrect (at -4.65 -4.445) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 7 smd roundrect (at -4.65 -3.175 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 7 smd roundrect (at -4.65 -3.175) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 6 smd roundrect (at -4.65 -1.905 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 6 smd roundrect (at -4.65 -1.905) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 5 smd roundrect (at -4.65 -0.635 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 5 smd roundrect (at -4.65 -0.635) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 4 smd roundrect (at -4.65 0.635 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 4 smd roundrect (at -4.65 0.635) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 18 "Net-(U2-Pad4)"))
(pad 3 smd roundrect (at -4.65 1.905 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 3 smd roundrect (at -4.65 1.905) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 19 "Net-(U2-Pad3)"))
(pad 2 smd roundrect (at -4.65 3.175 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 2 smd roundrect (at -4.65 3.175) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 4 +3V3))
(pad 1 smd roundrect (at -4.65 4.445 180) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 1 smd roundrect (at -4.65 4.445) (size 2.05 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 20 "Net-(U2-Pad1)"))
(model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-16W_7.5x10.3mm_P1.27mm.wrl
(at (xyz 0 0 0))
@ -1063,8 +1063,8 @@
)
)
(module ESP32-footprints-Lib:ESP32-WROOM (layer B.Cu) (tedit 57D08EA8) (tstamp 5DC52850)
(at 171 83)
(module ESP32-footprints-Lib:ESP32-WROOM (layer B.Cu) (tedit 57D08EA8) (tstamp 5E4935C5)
(at 190.25 23)
(path /5DC2247A)
(fp_text reference U3 (at -11.557 -9.017) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
@ -1164,8 +1164,8 @@
(net 1 GND))
)
(module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5B301BBD) (tstamp 5DC3CF7C)
(at 127.7875 24 180)
(module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5B301BBD) (tstamp 5E493E4E)
(at 208.2875 20 180)
(descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags resistor)
(path /5DC505CD)
@ -1200,8 +1200,8 @@
)
)
(module Connector_Wire:SolderWirePad_1x02_P7.62mm_Drill2.5mm (layer F.Cu) (tedit 5AEE5F2F) (tstamp 5DC40F35)
(at 183.5 23.5)
(module Connector_Wire:SolderWirePad_1x02_P7.62mm_Drill2.5mm (layer F.Cu) (tedit 5AEE5F2F) (tstamp 5E4918E4)
(at 320 19)
(descr "Wire solder connection")
(tags connector)
(path /5DCA1CEC)
@ -1226,7 +1226,7 @@
)
(module Connector_Wire:SolderWirePad_1x02_P7.62mm_Drill2.5mm (layer F.Cu) (tedit 5AEE5F2F) (tstamp 5DC3CF60)
(at 89 23.5)
(at 70.5 15 180)
(descr "Wire solder connection")
(tags connector)
(path /5DCA0C56)
@ -1244,14 +1244,14 @@
(fp_text user %R (at 3.81 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 2 thru_hole circle (at 7.62 0) (size 5.99948 5.99948) (drill 2.49936) (layers *.Cu *.Mask)
(pad 2 thru_hole circle (at 7.62 0 180) (size 5.99948 5.99948) (drill 2.49936) (layers *.Cu *.Mask)
(net 1 GND))
(pad 1 thru_hole rect (at 0 0) (size 5.99948 5.99948) (drill 2.49936) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 180) (size 5.99948 5.99948) (drill 2.49936) (layers *.Cu *.Mask)
(net 10 "Net-(LS1-Pad1)"))
)
(module Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Horizontal (layer B.Cu) (tedit 59FED5CB) (tstamp 5DC4180A)
(at 170.3 18.9 90)
(at 148.5 14 90)
(descr "Through hole angled pin header, 1x05, 2.54mm pitch, 6mm pin length, single row")
(tags "Through hole angled pin header THT 1x05 2.54mm single row")
(path /5DC3B538)
@ -1361,48 +1361,48 @@
)
)
(module Connector_BarrelJack:BarrelJack_Horizontal (layer F.Cu) (tedit 5A1DBF6A) (tstamp 5DC3CEFB)
(at 21 60 270)
(module Connector_BarrelJack:BarrelJack_Horizontal (layer B.Cu) (tedit 5A1DBF6A) (tstamp 5E490CEE)
(at 17 24 270)
(descr "DC Barrel Jack")
(tags "Power Jack")
(path /5DC2448B)
(fp_text reference J1 (at -8.45 5.75 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
(fp_text reference J1 (at -8.45 -5.75 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Barrel_Jack_Switch (at -6.2 -5.5 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
(fp_text value Barrel_Jack_Switch (at -6.2 5.5 270) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 0 -4.5) (end -13.7 -4.5) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
(fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
(fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
(fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
(fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
(fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
(fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
(fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
(fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
(fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
(fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
(fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
(fp_line (start -0.003213 -4.505425) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
(fp_text user %R (at -3 -2.95 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
(fp_line (start 0 4.5) (end -13.7 4.5) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -4.5) (end 0.8 3.75) (layer B.Fab) (width 0.1))
(fp_line (start -13.7 -4.5) (end 0.8 -4.5) (layer B.Fab) (width 0.1))
(fp_line (start -13.7 4.5) (end -13.7 -4.5) (layer B.Fab) (width 0.1))
(fp_line (start -10.2 4.5) (end -10.2 -4.5) (layer B.Fab) (width 0.1))
(fp_line (start 0.9 4.6) (end 0.9 2) (layer B.SilkS) (width 0.12))
(fp_line (start -13.8 4.6) (end 0.9 4.6) (layer B.SilkS) (width 0.12))
(fp_line (start 0.9 -4.6) (end -1 -4.6) (layer B.SilkS) (width 0.12))
(fp_line (start 0.9 -1.9) (end 0.9 -4.6) (layer B.SilkS) (width 0.12))
(fp_line (start -13.8 -4.6) (end -13.8 4.6) (layer B.SilkS) (width 0.12))
(fp_line (start -5 -4.6) (end -13.8 -4.6) (layer B.SilkS) (width 0.12))
(fp_line (start -14 -4.75) (end -14 4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -5 -4.75) (end -14 -4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -5 -6.75) (end -5 -4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 -6.75) (end -5 -6.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -1 -4.75) (end -1 -6.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -4.75) (end -1 -4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 -2) (end 1 -4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 2 -2) (end 1 -2) (layer B.CrtYd) (width 0.05))
(fp_line (start 2 2) (end 2 -2) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 2) (end 2 2) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 4.5) (end 1 2) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 4.75) (end -14 4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 1 4.5) (end 1 4.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.05 4.8) (end 1.1 4.8) (layer B.SilkS) (width 0.12))
(fp_line (start 1.1 3.75) (end 1.1 4.8) (layer B.SilkS) (width 0.12))
(fp_line (start -0.003213 4.505425) (end 0.8 3.75) (layer B.Fab) (width 0.1))
(fp_text user %R (at -3 2.95 270) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 3 thru_hole roundrect (at -3 4.7 270) (size 3.5 3.5) (drill oval 3 1) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
(pad 3 thru_hole roundrect (at -3 -4.7 270) (size 3.5 3.5) (drill oval 3 1) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 2 thru_hole roundrect (at -6 0 270) (size 3 3.5) (drill oval 1 3) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
(net 1 GND))
@ -1416,7 +1416,7 @@
)
(module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC3CED8)
(at 68 41.2125 90)
(at 77 29 270)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DC4CCEA)
@ -1440,9 +1440,9 @@
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(pad 2 smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 2 smd roundrect (at 0.7875 0 270) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 1 GND))
(pad 1 smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(pad 1 smd roundrect (at -0.7875 0 270) (size 0.875 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25)
(net 4 +3V3))
(model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
(at (xyz 0 0 0))
@ -1452,7 +1452,7 @@
)
(module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC3CEC7)
(at 50.5 41.2875 90)
(at 58 25.2125 90)
(descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DC4B9FA)
@ -1487,8 +1487,8 @@
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC43014)
(at 178.5 108.515 270)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5E493D14)
(at 205.5 37.015 270)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DCA89C8)
@ -1522,7 +1522,7 @@
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC42FEA)
(at 164 108.985 90)
(at 216.5 33.485 90)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DCA2377)
@ -1556,7 +1556,7 @@
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC42FC0)
(at 164 106.515 90)
(at 216.5 31.015 90)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DCC0191)
@ -1589,18 +1589,18 @@
)
)
(module Capacitor_THT:CP_Radial_D8.0mm_P3.50mm (layer B.Cu) (tedit 5AE50EF0) (tstamp 5DC3CE89)
(at 20 68 180)
(module Capacitor_THT:CP_Radial_D8.0mm_P3.50mm (layer B.Cu) (tedit 5AE50EF0) (tstamp 5E491AF9)
(at 32.5 27 90)
(descr "CP, Radial series, Radial, pin pitch=3.50mm, , diameter=8mm, Electrolytic Capacitor")
(tags "CP Radial series Radial pin pitch 3.50mm diameter 8mm Electrolytic Capacitor")
(path /5DC5A769)
(fp_text reference C2 (at 1.75 5.25) (layer B.SilkS)
(fp_text reference C2 (at 1.75 5.25 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1m (at 1.75 -5.25) (layer B.Fab)
(fp_text value 1m (at 1.75 -5.25 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 1.75 0) (layer B.Fab)
(fp_text user %R (at 1.75 0 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.259698 2.715) (end -2.259698 1.915) (layer B.SilkS) (width 0.12))
@ -1765,9 +1765,9 @@
(fp_circle (center 1.75 0) (end 6 0) (layer B.CrtYd) (width 0.05))
(fp_circle (center 1.75 0) (end 5.87 0) (layer B.SilkS) (width 0.12))
(fp_circle (center 1.75 0) (end 5.75 0) (layer B.Fab) (width 0.1))
(pad 2 thru_hole circle (at 3.5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(pad 2 thru_hole circle (at 3.5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 1 thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 5 +5V))
(model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D8.0mm_P3.50mm.wrl
(at (xyz 0 0 0))
@ -1776,8 +1776,8 @@
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC42F96)
(at 181 110.015 270)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5E493CEA)
(at 208 38.515 270)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DCAD956)
@ -1811,7 +1811,7 @@
)
(module Capacitor_SMD:C_Elec_8x10.2 (layer B.Cu) (tedit 5BC8D926) (tstamp 5DC5297D)
(at 32 57 90)
(at 44.25 25 90)
(descr "SMD capacitor, aluminum electrolytic nonpolar, 8.0x10.2mm")
(tags "capacitor electrolyic nonpolar")
(path /5DC5A0AA)
@ -1865,8 +1865,8 @@
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC3CDAD)
(at 129 19.515 270)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5E493DF8)
(at 209.5 15.515 270)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DC37A96)
@ -1899,8 +1899,8 @@
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC3CD9E)
(at 126.5 19.485 270)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5E493DCE)
(at 207 15.485 270)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DC36963)
@ -1933,8 +1933,8 @@
)
)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5DC3CD8F)
(at 124 23.515 270)
(module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5B301BBE) (tstamp 5E493E22)
(at 204.5 19.515 270)
(descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
(tags capacitor)
(path /5DC4EC03)
@ -1968,15 +1968,15 @@
)
(module Battery:BatteryHolder_Keystone_1058_1x2032 (layer B.Cu) (tedit 589EE147) (tstamp 5DC41534)
(at 38.4 34.08 90)
(at 250.5 22.5)
(descr http://www.keyelco.com/product-pdf.cfm?p=14028)
(tags "Keystone type 1058 coin cell retainer")
(path /5DC29E69)
(attr smd)
(fp_text reference BT1 (at 0 -7.62 90) (layer B.SilkS)
(fp_text reference BT1 (at 0 -7.62) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value Battery_Cell (at 0 9.398 90) (layer B.Fab)
(fp_text value Battery_Cell (at 0 9.398) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_circle (center 0 0) (end 10 0) (layer Dwgs.User) (width 0.15))
@ -2024,12 +2024,12 @@
(fp_line (start 11.06 -4.11) (end 16.45 -4.11) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end -11.06 4.11) (angle -139.2) (layer B.CrtYd) (width 0.05))
(fp_arc (start 0 0) (end 11.06 -4.11) (angle -139.2) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(pad 2 smd rect (at 14.68 0 90) (size 2.54 3.51) (layers B.Cu B.Paste B.Mask)
(pad 2 smd rect (at 14.68 0) (size 2.54 3.51) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 1 smd rect (at -14.68 0 90) (size 2.54 3.51) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -14.68 0) (size 2.54 3.51) (layers B.Cu B.Paste B.Mask)
(net 2 "Net-(BT1-Pad1)"))
(model ${KISYS3DMOD}/Battery.3dshapes/BatteryHolder_Keystone_1058_1x2032.wrl
(at (xyz 0 0 0))
@ -2038,4 +2038,9 @@
)
)
(gr_line (start 360 10) (end 360 110) (layer Edge.Cuts) (width 0.1))
(gr_line (start 10 10) (end 360 10) (layer Edge.Cuts) (width 0.1))
(gr_line (start 10 110) (end 10 10) (layer Edge.Cuts) (width 0.1) (tstamp 5E491ECC))
(gr_line (start 360 110) (end 10 110) (layer Edge.Cuts) (width 0.1) (tstamp 5E493CDA))
)

View File

@ -1,6 +1,5 @@
EESchema Schematic File Version 4
LIBS:fauxie_clock-cache
EELAYER 29 0
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
@ -26,7 +25,7 @@ F 3 "" H 5250 3300 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Connector:Barrel_Jack_Switch J1
L fauxie_clock-rescue:Barrel_Jack_Switch-Connector J1
U 1 1 5DC2448B
P 7450 1200
F 0 "J1" H 7507 1517 50 0000 C CNN
@ -37,7 +36,7 @@ F 3 "~" H 7500 1160 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR03
L fauxie_clock-rescue:+5V-power #PWR03
U 1 1 5DC2552C
P 7850 1100
F 0 "#PWR03" H 7850 950 50 0001 C CNN
@ -48,7 +47,7 @@ F 3 "" H 7850 1100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR06
L fauxie_clock-rescue:GND-power #PWR06
U 1 1 5DC2782F
P 7850 1300
F 0 "#PWR06" H 7850 1050 50 0001 C CNN
@ -68,7 +67,7 @@ Wire Wire Line
7750 1300 7850 1300
Connection ~ 7850 1300
$Comp
L power:GND #PWR020
L fauxie_clock-rescue:GND-power #PWR020
U 1 1 5DC31142
P 4750 3950
F 0 "#PWR020" H 4750 3700 50 0001 C CNN
@ -86,7 +85,7 @@ Connection ~ 4750 3900
Wire Wire Line
4750 3900 4750 3950
$Comp
L power:GND #PWR018
L fauxie_clock-rescue:GND-power #PWR018
U 1 1 5DC319A1
P 6700 3500
F 0 "#PWR018" H 6700 3250 50 0001 C CNN
@ -104,7 +103,7 @@ Wire Wire Line
6700 3400 6700 3500
Connection ~ 6700 3500
$Comp
L Device:C C5
L fauxie_clock-rescue:C-Device C5
U 1 1 5DC36963
P 4400 1500
F 0 "C5" V 4148 1500 50 0000 C CNN
@ -115,7 +114,7 @@ F 3 "~" H 4400 1500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:C C6
L fauxie_clock-rescue:C-Device C6
U 1 1 5DC37A96
P 4400 1900
F 0 "C6" V 4148 1900 50 0000 C CNN
@ -126,7 +125,7 @@ F 3 "~" H 4400 1900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR012
L fauxie_clock-rescue:GND-power #PWR012
U 1 1 5DC383AD
P 4650 1700
F 0 "#PWR012" H 4650 1450 50 0001 C CNN
@ -146,7 +145,7 @@ Wire Wire Line
4650 1900 4550 1900
Connection ~ 4650 1700
$Comp
L power:+3V3 #PWR02
L fauxie_clock-rescue:+3V3-power #PWR02
U 1 1 5DC38ED2
P 4150 1100
F 0 "#PWR02" H 4150 950 50 0001 C CNN
@ -171,7 +170,7 @@ Connection ~ 4150 1500
Wire Wire Line
4150 1500 4150 1900
$Comp
L Connector:Conn_01x05_Male J2
L fauxie_clock-rescue:Conn_01x05_Male-Connector J2
U 1 1 5DC3B538
P 10500 2150
F 0 "J2" H 10472 2174 50 0000 R CNN
@ -182,7 +181,7 @@ F 3 "~" H 10500 2150 50 0001 C CNN
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR015
L fauxie_clock-rescue:GND-power #PWR015
U 1 1 5DC4458E
P 10150 1950
F 0 "#PWR015" H 10150 1700 50 0001 C CNN
@ -219,7 +218,7 @@ Wire Wire Line
Wire Wire Line
6600 2400 6700 2400
$Comp
L Device:C C7
L fauxie_clock-rescue:C-Device C7
U 1 1 5DC4EC03
P 3350 2250
F 0 "C7" V 3098 2250 50 0000 C CNN
@ -230,7 +229,7 @@ F 3 "~" H 3350 2250 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:R R1
L fauxie_clock-rescue:R-Device R1
U 1 1 5DC505CD
P 3500 1850
F 0 "R1" H 3570 1896 50 0000 L CNN
@ -241,7 +240,7 @@ F 3 "~" H 3500 1850 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR011
L fauxie_clock-rescue:+3V3-power #PWR011
U 1 1 5DC509C1
P 3500 1600
F 0 "#PWR011" H 3500 1450 50 0001 C CNN
@ -252,7 +251,7 @@ F 3 "" H 3500 1600 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR016
L fauxie_clock-rescue:GND-power #PWR016
U 1 1 5DC50C8E
P 3100 2250
F 0 "#PWR016" H 3100 2000 50 0001 C CNN
@ -283,7 +282,7 @@ DTR
Wire Wire Line
6700 3300 6600 3300
$Comp
L Device:C C1
L fauxie_clock-rescue:C-Device C1
U 1 1 5DC5A0AA
P 8650 1250
F 0 "C1" H 8765 1296 50 0000 L CNN
@ -294,7 +293,7 @@ F 3 "~" H 8650 1250 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:C C2
L fauxie_clock-rescue:C-Device C2
U 1 1 5DC5A769
P 9050 1250
F 0 "C2" H 9165 1296 50 0000 L CNN
@ -305,7 +304,7 @@ F 3 "~" H 9050 1250 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR01
L fauxie_clock-rescue:+5V-power #PWR01
U 1 1 5DC5AEE9
P 8850 1000
F 0 "#PWR01" H 8850 850 50 0001 C CNN
@ -316,7 +315,7 @@ F 3 "" H 8850 1000 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR07
L fauxie_clock-rescue:GND-power #PWR07
U 1 1 5DC5B2B4
P 8850 1500
F 0 "#PWR07" H 8850 1250 50 0001 C CNN
@ -345,7 +344,7 @@ Wire Wire Line
9050 1500 9050 1400
Connection ~ 8850 1500
$Comp
L Timer_RTC:DS3231M U2
L fauxie_clock-rescue:DS3231M-Timer_RTC U2
U 1 1 5DC2811A
P 7800 2600
F 0 "U2" H 7800 2111 50 0000 C CNN
@ -356,7 +355,7 @@ F 3 "http://datasheets.maximintegrated.com/en/ds/DS3231.pdf" H 8070 2650 50 000
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT1
L fauxie_clock-rescue:Battery_Cell-Device BT1
U 1 1 5DC29E69
P 8000 1900
F 0 "BT1" V 7745 1950 50 0000 C CNN
@ -369,7 +368,7 @@ $EndComp
Wire Wire Line
7800 1900 7800 2200
$Comp
L power:GND #PWR017
L fauxie_clock-rescue:GND-power #PWR017
U 1 1 5DC2D24C
P 8300 3000
F 0 "#PWR017" H 8300 2750 50 0001 C CNN
@ -382,7 +381,7 @@ $EndComp
Wire Wire Line
8300 3000 7800 3000
$Comp
L power:GND #PWR014
L fauxie_clock-rescue:GND-power #PWR014
U 1 1 5DC2E243
P 8200 1900
F 0 "#PWR014" H 8200 1650 50 0001 C CNN
@ -395,7 +394,7 @@ $EndComp
Wire Wire Line
8200 1900 8100 1900
$Comp
L power:+3V3 #PWR013
L fauxie_clock-rescue:+3V3-power #PWR013
U 1 1 5DC2F335
P 7700 1900
F 0 "#PWR013" H 7700 1750 50 0001 C CNN
@ -424,7 +423,7 @@ SDA
Wire Wire Line
6700 2500 6600 2500
$Comp
L Regulator_Linear:AP7361C-33E U1
L fauxie_clock-rescue:AP7361C-33E-Regulator_Linear U1
U 1 1 5DC41BA9
P 10250 1100
F 0 "U1" H 10250 1342 50 0000 C CNN
@ -435,7 +434,7 @@ F 3 "https://www.diodes.com/assets/Datasheets/AP7361C.pdf" H 10250 1050 50 0001
1 0 0 -1
$EndComp
$Comp
L Device:C C3
L fauxie_clock-rescue:C-Device C3
U 1 1 5DC4B9FA
P 9750 1250
F 0 "C3" H 9865 1296 50 0000 L CNN
@ -446,7 +445,7 @@ F 3 "~" H 9750 1250 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:C C4
L fauxie_clock-rescue:C-Device C4
U 1 1 5DC4CCEA
P 10750 1250
F 0 "C4" H 10865 1296 50 0000 L CNN
@ -457,7 +456,7 @@ F 3 "~" H 10750 1250 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR08
L fauxie_clock-rescue:GND-power #PWR08
U 1 1 5DC56F5F
P 9750 1500
F 0 "#PWR08" H 9750 1250 50 0001 C CNN
@ -468,7 +467,7 @@ F 3 "" H 9750 1500 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR09
L fauxie_clock-rescue:GND-power #PWR09
U 1 1 5DC570A5
P 10250 1500
F 0 "#PWR09" H 10250 1250 50 0001 C CNN
@ -479,7 +478,7 @@ F 3 "" H 10250 1500 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR010
L fauxie_clock-rescue:GND-power #PWR010
U 1 1 5DC5751F
P 10750 1500
F 0 "#PWR010" H 10750 1250 50 0001 C CNN
@ -490,7 +489,7 @@ F 3 "" H 10750 1500 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR04
L fauxie_clock-rescue:+5V-power #PWR04
U 1 1 5DC57A88
P 9650 1100
F 0 "#PWR04" H 9650 950 50 0001 C CNN
@ -501,7 +500,7 @@ F 3 "" H 9650 1100 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR05
L fauxie_clock-rescue:+3V3-power #PWR05
U 1 1 5DC58370
P 10850 1100
F 0 "#PWR05" H 10850 950 50 0001 C CNN
@ -528,7 +527,7 @@ Wire Wire Line
Wire Wire Line
9750 1400 9750 1500
$Comp
L Audio:PCM5102 U4
L fauxie_clock-rescue:PCM5102-Audio U4
U 1 1 5DC52822
P 8850 4950
F 0 "U4" H 8850 5731 50 0000 C CNN
@ -539,7 +538,7 @@ F 3 "http://www.ti.com/lit/ds/symlink/pcm5102a.pdf" H 8800 5700 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR021
L fauxie_clock-rescue:+3V3-power #PWR021
U 1 1 5DC5B639
P 9450 4150
F 0 "#PWR021" H 9450 4000 50 0001 C CNN
@ -554,7 +553,7 @@ Wire Wire Line
Wire Wire Line
8950 4150 9450 4150
$Comp
L power:+3V3 #PWR027
L fauxie_clock-rescue:+3V3-power #PWR027
U 1 1 5DC601DD
P 8200 5250
F 0 "#PWR027" H 8200 5100 50 0001 C CNN
@ -577,7 +576,7 @@ Wire Wire Line
8750 4150 8850 4150
Connection ~ 8850 4150
$Comp
L power:GND #PWR030
L fauxie_clock-rescue:GND-power #PWR030
U 1 1 5DC64123
P 9450 5800
F 0 "#PWR030" H 9450 5550 50 0001 C CNN
@ -602,7 +601,7 @@ Wire Wire Line
8750 5800 8850 5800
Connection ~ 8850 5800
$Comp
L power:GND #PWR024
L fauxie_clock-rescue:GND-power #PWR024
U 1 1 5DC68758
P 8200 5050
F 0 "#PWR024" H 8200 4800 50 0001 C CNN
@ -620,7 +619,7 @@ Wire Wire Line
8200 5150 8200 5050
Connection ~ 8200 5050
$Comp
L power:GND #PWR028
L fauxie_clock-rescue:GND-power #PWR028
U 1 1 5DC6BC1C
P 8200 5350
F 0 "#PWR028" H 8200 5100 50 0001 C CNN
@ -661,7 +660,7 @@ I2SD
Wire Wire Line
6700 3200 6600 3200
$Comp
L Device:Speaker LS1
L fauxie_clock-rescue:Speaker-Device LS1
U 1 1 5DCA0C56
P 10300 4350
F 0 "LS1" H 10470 4346 50 0000 L CNN
@ -672,7 +671,7 @@ F 3 "~" H 10290 4300 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:Speaker LS2
L fauxie_clock-rescue:Speaker-Device LS2
U 1 1 5DCA1CEC
P 10300 4850
F 0 "LS2" H 10470 4846 50 0000 L CNN
@ -683,7 +682,7 @@ F 3 "~" H 10290 4800 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:C C9
L fauxie_clock-rescue:C-Device C9
U 1 1 5DCA2377
P 9500 5000
F 0 "C9" H 9615 5046 50 0000 L CNN
@ -698,7 +697,7 @@ Wire Wire Line
Wire Wire Line
9500 5150 9350 5150
$Comp
L Device:C C10
L fauxie_clock-rescue:C-Device C10
U 1 1 5DCA89C8
P 9650 5350
F 0 "C10" V 9398 5350 50 0000 C CNN
@ -711,7 +710,7 @@ $EndComp
Wire Wire Line
9350 5350 9500 5350
$Comp
L power:GND #PWR029
L fauxie_clock-rescue:GND-power #PWR029
U 1 1 5DCAB21C
P 9900 5350
F 0 "#PWR029" H 9900 5100 50 0001 C CNN
@ -724,7 +723,7 @@ $EndComp
Wire Wire Line
9900 5350 9800 5350
$Comp
L Device:C C8
L fauxie_clock-rescue:C-Device C8
U 1 1 5DCAD956
P 8850 3900
F 0 "C8" H 8965 3946 50 0000 L CNN
@ -735,7 +734,7 @@ F 3 "~" H 8850 3900 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR019
L fauxie_clock-rescue:GND-power #PWR019
U 1 1 5DCADEFD
P 8850 3650
F 0 "#PWR019" H 8850 3400 50 0001 C CNN
@ -750,7 +749,7 @@ Wire Wire Line
Wire Wire Line
8850 4050 8850 4150
$Comp
L power:GND #PWR022
L fauxie_clock-rescue:GND-power #PWR022
U 1 1 5DCB2BA1
P 10000 4450
F 0 "#PWR022" H 10000 4200 50 0001 C CNN
@ -761,7 +760,7 @@ F 3 "" H 10000 4450 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR023
L fauxie_clock-rescue:GND-power #PWR023
U 1 1 5DCB4946
P 10000 4950
F 0 "#PWR023" H 10000 4700 50 0001 C CNN
@ -784,7 +783,7 @@ Wire Wire Line
Wire Wire Line
10100 4450 10000 4450
$Comp
L Device:C C11
L fauxie_clock-rescue:C-Device C11
U 1 1 5DCC0191
P 9350 5650
F 0 "C11" H 9465 5696 50 0000 L CNN
@ -802,7 +801,7 @@ Wire Wire Line
NoConn ~ 8300 2400
NoConn ~ 8300 2700
$Comp
L Connector:Micro_SD_Card J3
L fauxie_clock-rescue:Micro_SD_Card-Connector J3
U 1 1 5DC3F3D7
P 5850 5200
F 0 "J3" H 5800 4383 50 0000 C CNN
@ -813,7 +812,7 @@ F 3 "http://katalog.we-online.de/em/datasheet/693072010801.pdf" H 5850 5200 50
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR025
L fauxie_clock-rescue:GND-power #PWR025
U 1 1 5DC4CB27
P 7350 5150
F 0 "#PWR025" H 7350 4900 50 0001 C CNN
@ -824,7 +823,7 @@ F 3 "" H 7350 5150 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR026
L fauxie_clock-rescue:+3V3-power #PWR026
U 1 1 5DC52BDC
P 6900 5200
F 0 "#PWR026" H 6900 5050 50 0001 C CNN
@ -886,7 +885,7 @@ Wire Wire Line
7350 4300 7350 5000
Connection ~ 7350 5000
$Comp
L Connector:Conn_01x04_Male J4
L fauxie_clock-rescue:Conn_01x04_Male-Connector J4
U 1 1 5DCDEB7C
P 700 4200
F 0 "J4" H 808 4481 50 0000 C CNN
@ -897,7 +896,7 @@ F 3 "~" H 700 4200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR031
L fauxie_clock-rescue:GND-power #PWR031
U 1 1 5DCE30DC
P 1000 4100
F 0 "#PWR031" H 1000 3850 50 0001 C CNN
@ -908,7 +907,7 @@ F 3 "" H 1000 4100 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR032
L fauxie_clock-rescue:+5V-power #PWR032
U 1 1 5DCE3692
P 1000 4400
F 0 "#PWR032" H 1000 4250 50 0001 C CNN
@ -931,7 +930,7 @@ Wire Wire Line
Wire Wire Line
1000 4400 900 4400
$Comp
L Connector:Conn_01x04_Male J5
L fauxie_clock-rescue:Conn_01x04_Male-Connector J5
U 1 1 5DCF5127
P 1700 4200
F 0 "J5" H 1808 4481 50 0000 C CNN
@ -942,7 +941,7 @@ F 3 "~" H 1700 4200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR033
L fauxie_clock-rescue:GND-power #PWR033
U 1 1 5DCF512D
P 2000 4100
F 0 "#PWR033" H 2000 3850 50 0001 C CNN
@ -953,7 +952,7 @@ F 3 "" H 2000 4100 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR034
L fauxie_clock-rescue:+5V-power #PWR034
U 1 1 5DCF5133
P 2000 4400
F 0 "#PWR034" H 2000 4250 50 0001 C CNN
@ -976,7 +975,7 @@ Wire Wire Line
Wire Wire Line
2000 4400 1900 4400
$Comp
L Connector:Conn_01x04_Male J6
L fauxie_clock-rescue:Conn_01x04_Male-Connector J6
U 1 1 5DCFAA17
P 2650 4200
F 0 "J6" H 2758 4481 50 0000 C CNN
@ -987,7 +986,7 @@ F 3 "~" H 2650 4200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR037
L fauxie_clock-rescue:GND-power #PWR037
U 1 1 5DCFAA1D
P 2950 4100
F 0 "#PWR037" H 2950 3850 50 0001 C CNN
@ -998,7 +997,7 @@ F 3 "" H 2950 4100 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR038
L fauxie_clock-rescue:+5V-power #PWR038
U 1 1 5DCFAA23
P 2950 4400
F 0 "#PWR038" H 2950 4250 50 0001 C CNN
@ -1021,7 +1020,7 @@ Wire Wire Line
Wire Wire Line
2950 4400 2850 4400
$Comp
L Connector:Conn_01x04_Male J7
L fauxie_clock-rescue:Conn_01x04_Male-Connector J7
U 1 1 5DD005F5
P 3500 4200
F 0 "J7" H 3608 4481 50 0000 C CNN
@ -1032,7 +1031,7 @@ F 3 "~" H 3500 4200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR039
L fauxie_clock-rescue:GND-power #PWR039
U 1 1 5DD005FB
P 3800 4100
F 0 "#PWR039" H 3800 3850 50 0001 C CNN
@ -1043,7 +1042,7 @@ F 3 "" H 3800 4100 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR040
L fauxie_clock-rescue:+5V-power #PWR040
U 1 1 5DD00601
P 3800 4400
F 0 "#PWR040" H 3800 4250 50 0001 C CNN
@ -1066,7 +1065,7 @@ Wire Wire Line
Wire Wire Line
3800 4400 3700 4400
$Comp
L LED:SK6812 D1
L fauxie_clock-rescue:SK6812-LED D1
U 1 1 5DD1D6E4
P 1900 3200
F 0 "D1" H 2244 3246 50 0000 L CNN
@ -1077,7 +1076,7 @@ F 3 "https://cdn-shop.adafruit.com/product-files/1138/SK6812+LED+datasheet+.pdf"
1 0 0 -1
$EndComp
$Comp
L LED:SK6812 D2
L fauxie_clock-rescue:SK6812-LED D2
U 1 1 5DD1E4E8
P 2900 3200
F 0 "D2" H 3244 3246 50 0000 L CNN
@ -1088,7 +1087,7 @@ F 3 "https://cdn-shop.adafruit.com/product-files/1138/SK6812+LED+datasheet+.pdf"
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR035
L fauxie_clock-rescue:+5V-power #PWR035
U 1 1 5DD1F0EA
P 2400 2800
F 0 "#PWR035" H 2400 2650 50 0001 C CNN
@ -1099,7 +1098,7 @@ F 3 "" H 2400 2800 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR036
L fauxie_clock-rescue:GND-power #PWR036
U 1 1 5DD1F7A9
P 2400 3600
F 0 "#PWR036" H 2400 3350 50 0001 C CNN
@ -1138,7 +1137,7 @@ LED_DATA
Wire Wire Line
4650 2850 4750 2850
$Comp
L Device:R R2
L fauxie_clock-rescue:R-Device R2
U 1 1 5DD5B861
P 3300 3450
F 0 "R2" H 3230 3404 50 0000 R CNN

View File

@ -1,4 +1,5 @@
(sym_lib_table
(lib (name ESP32-footprints-Shem-Lib)(type Legacy)(uri ${KIPRJMOD}/../ESP32-kiCAD-Footprints/ESP32-Footprints/ESP32-footprints-Shem-Lib.lib)(options "")(descr ""))
(lib (name espressif-xess)(type Legacy)(uri ${KIPRJMOD}/../ESP32-kiCAD-Footprints/ESP32-Footprints/espressif-xess.lib)(options "")(descr ""))
(lib (name fauxie_clock-rescue)(type Legacy)(uri ${KIPRJMOD}/fauxie_clock-rescue.lib)(options "")(descr ""))
)