nixpkgs/pkgs/development/compilers/nextpnr/default.nix

87 lines
2.3 KiB
Nix
Raw Normal View History

{ stdenv, fetchFromGitHub, cmake
2019-04-09 22:12:11 +00:00
, boost, python3, eigen
, icestorm, trellis
2019-08-30 20:02:40 +00:00
, llvmPackages
, enableGui ? false
, wrapQtAppsHook ? null
, qtbase ? null
, OpenGL ? null
}:
let
boostPython = boost.override { python = python3; enablePython = true; };
in
2019-08-20 05:02:35 +00:00
with stdenv; mkDerivation rec {
2019-08-13 23:40:25 +00:00
pname = "nextpnr";
version = "2021.01.02";
2019-10-13 16:28:35 +00:00
srcs = [
(fetchFromGitHub {
owner = "YosysHQ";
repo = "nextpnr";
rev = "9b9628047c01a970cfe20f83f2b7129ed109440d";
sha256 = "0pcv96d0n40h2ipywi909hpzlys5b6r4pamc320qk1xxhppmgkmm";
2019-10-13 16:28:35 +00:00
name = "nextpnr";
})
(fetchFromGitHub {
owner = "YosysHQ";
repo = "nextpnr-tests";
rev = "8f93e7e0f897b1b5da469919c9a43ba28b623b2a";
sha256 = "0zpd0w49k9l7rs3wmi2v8z5s4l4lad5rprs5l83w13667himpzyc";
name = "nextpnr-tests";
})
];
sourceRoot = "nextpnr";
nativeBuildInputs
= [ cmake ]
2019-08-20 05:02:35 +00:00
++ (lib.optional enableGui wrapQtAppsHook);
buildInputs
2019-04-09 22:12:11 +00:00
= [ boostPython python3 eigen ]
2019-08-30 20:02:40 +00:00
++ (lib.optional enableGui qtbase)
++ (lib.optional stdenv.cc.isClang llvmPackages.openmp);
cmakeFlags =
2020-02-08 15:32:29 +00:00
[ "-DCURRENT_GIT_VERSION=${lib.substring 0 7 (lib.elemAt srcs 0).rev}"
"-DARCH=generic;ice40;ecp5"
2019-09-27 14:07:07 +00:00
"-DBUILD_TESTS=ON"
"-DICESTORM_INSTALL_PREFIX=${icestorm}"
2020-02-08 15:32:29 +00:00
"-DTRELLIS_INSTALL_PREFIX=${trellis}"
"-DTRELLIS_LIBDIR=${trellis}/lib/trellis"
"-DUSE_OPENMP=ON"
2019-08-13 23:40:25 +00:00
# warning: high RAM usage
"-DSERIALIZE_CHIPDBS=OFF"
]
++ (lib.optional enableGui "-DBUILD_GUI=ON")
++ (lib.optional (enableGui && stdenv.isDarwin)
"-DOPENGL_INCLUDE_DIR=${OpenGL}/Library/Frameworks");
patchPhase = with builtins; ''
2019-09-28 11:55:43 +00:00
# use PyPy for icestorm if enabled
substituteInPlace ./ice40/family.cmake \
--replace ''\'''${PYTHON_EXECUTABLE}' '${icestorm.pythonInterp}'
'';
2019-10-13 16:28:35 +00:00
preBuild = ''
ln -s ../nextpnr-tests tests
'';
2019-09-27 14:07:07 +00:00
doCheck = true;
2019-08-20 05:02:35 +00:00
postFixup = lib.optionalString enableGui ''
wrapQtApp $out/bin/nextpnr-generic
wrapQtApp $out/bin/nextpnr-ice40
wrapQtApp $out/bin/nextpnr-ecp5
'';
meta = with lib; {
description = "Place and route tool for FPGAs";
homepage = "https://github.com/yosyshq/nextpnr";
license = licenses.isc;
platforms = platforms.all;
maintainers = with maintainers; [ thoughtpolice emily ];
};
}