diff --git a/pkgs/development/octave-modules/tisean/default.nix b/pkgs/development/octave-modules/tisean/default.nix new file mode 100644 index 00000000000..b21ef0a5f56 --- /dev/null +++ b/pkgs/development/octave-modules/tisean/default.nix @@ -0,0 +1,33 @@ +{ buildOctavePackage +, lib +, fetchurl +# Octave dependencies +, signal # >= 1.3.0 +# Build dependencies +, gfortran +}: + +buildOctavePackage rec { + pname = "tisean"; + version = "0.2.3"; + + src = fetchurl { + url = "mirror://sourceforge/octave/${pname}-${version}.tar.gz"; + sha256 = "0nc2d9h91glxzmpizxdrc2dablw4bqhqhzs37a394c36myk4xjdv"; + }; + + nativeBuildInputs = [ + gfortran + ]; + + requiredOctavePackages = [ + signal + ]; + + meta = with lib; { + homepage = "https://octave.sourceforge.io/tisean/index.html"; + license = licenses.gpl3Plus; + maintainers = with maintainers; [ KarlJoad ]; + description = "Port of TISEAN 3.0.1"; + }; +} diff --git a/pkgs/top-level/octave-packages.nix b/pkgs/top-level/octave-packages.nix index dd7f1879871..ad81a48e680 100644 --- a/pkgs/top-level/octave-packages.nix +++ b/pkgs/top-level/octave-packages.nix @@ -199,4 +199,6 @@ makeScope newScope (self: inherit (octave) python; }; + tisean = callPackage ../development/octave-modules/tisean { }; + })