Commit graph

16 commits

Author SHA1 Message Date
Vladimír Čunát 7178848064
ghdl-llvm: fix llvm output selection 2021-05-12 10:51:11 +02:00
Markus S. Wamser 123ec34638 ghdl: fix llvm backend, add passthru.tests 2021-03-22 07:51:27 +01:00
Eduardo Sánchez Muñoz be7906e6ee ghdl: unstable-2021.01.14 -> 1.0.0 2021-02-10 10:16:38 +01:00
Austin Seipp 51d1125f24
ghdl: 0.37 -> unstable-2021.01.14
This unreleased version of GHDL fixes a bunch of bugs. It also contains
a few internal API changes for synthesis support -- required by the GHDL
yosys plugin.

Ideally, we can just remove this when 0.38 comes out.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15 10:13:46 -06:00
Lars Jellema b674acee6e ghdl: init at 0.37 2020-04-20 14:41:22 +02:00
Shea Levy c69d8bf5e6
treewide: Remove gnat support.
See discussion in 6ac7b19c97.
2018-03-08 13:56:36 -05:00
Pascal Wittmann 24e87b49b6
Change many homepage urls from http to https #30636 2017-11-10 22:13:46 +01:00
Silvan Mosberger f5fa5fa4d6 pkgs: refactor needless quoting of homepage meta attribute (#27809)
* pkgs: refactor needless quoting of homepage meta attribute

A lot of packages are needlessly quoting the homepage meta attribute
(about 1400, 22%), this commit refactors all of those instances.

* pkgs: Fixing some links that were wrongfully unquoted in the previous
commit

* Fixed some instances
2017-08-01 22:03:30 +02:00
timor d5ffca8829 ghdl: use fetchFromGitHub
for some reason the sha256 changed...
2016-09-20 14:15:52 +02:00
timor ecbf44485b ghdl: add support for llvm backend
Make the existing ghdl recipe more flexible, and introduce "ghdl_llvm"
as a package in addition to "ghdl_mcode".  This seems to specifically
require llvm 3.5, though.  The flavour is also encoded in the package name.

cc @viric
2016-09-20 14:15:52 +02:00
Vladimír Čunát 001bde3df0 gcc45, gnat, ghdl: fix up the builds
Some parts are slightly puzzling, but it seems to work and it didn't
seem economical to put more effort into it.
2016-09-10 00:32:13 +02:00
Lluís Batlle i Rossell 7f7c2171c0 Update ghdl mcode to 0.33.
(cherry picked from commit e9d6aadc51ecdd274cd383a99ea840a94b58d954)
2016-03-03 14:06:07 +01:00
Peter Simons 2d326e5032 Merge remote-tracking branch 'origin/master' into staging.
Conflicts:
	pkgs/desktops/e18/enlightenment.nix
2014-08-04 16:51:47 +02:00
Mateusz Kowalczyk 7a45996233 Turn some license strings into lib.licenses values 2014-07-28 11:31:14 +02:00
Eelco Dolstra 40f7b0f9df Another attempt to eradicate ensureDir
See c556a6ea46.
2014-06-30 14:56:10 +02:00
Lluís Batlle i Rossell 44bda71b88 Adding ghdl 0.31 mcode flavour
This allows having a recent ghdl, without building it with gcc.
The major drawback is the speed.
2014-04-16 10:35:45 +02:00