Commit graph

24028 commits

Author SHA1 Message Date
Robin Gloster ecff7d3a56
file: *Flags are lists 2019-12-30 11:13:38 +01:00
Vladyslav M 9ac2382e2a
ffsend: 0.2.57 -> 0.2.58 (#76675)
ffsend: 0.2.57 -> 0.2.58
2019-12-30 10:33:39 +02:00
Jan Tojnar a69e309794
Merge branch 'staging-next' into staging 2019-12-30 05:24:03 +01:00
Jan Tojnar 480fc1856a
Merge branch 'master' into staging-next 2019-12-30 05:22:23 +01:00
Jan Tojnar ea4da9b5fa
Merge pull request #76674 from jtojnar/structured-attrs-masterable
treewide: structured-attrs fixes
2019-12-30 04:52:45 +01:00
Robin Gloster ac8eaa8507
treewide: fix *Flags 2019-12-30 04:50:37 +01:00
Robin Gloster 20b02b80aa
uwimap: fix makeFlags 2019-12-30 04:50:36 +01:00
Robin Gloster 9970f29513
treewide: *Flags are lists 2019-12-30 04:50:35 +01:00
B YI 1b20a627d5 clash: init at 0.16.0 (#76572) 2019-12-29 18:18:10 -08:00
Florian Klink 7ef8a85540
Merge pull request #75663 from flokli/certbot-1.0.1
certbot: 0.39.0 -> 1.0.0
2019-12-30 02:22:33 +01:00
Lily Ballard 8e1c6841af ffsend: 0.2.57 -> 0.2.58 2019-12-29 16:59:36 -08:00
Maximilian Bosch 51e91f0e8b
uutils-coreutils: fix darwin build
https://hydra.nixos.org/build/108523395
2019-12-29 23:45:09 +01:00
Jan Tojnar db89a2ce38
smu: Do not use DESTDIR
https://github.com/NixOS/nixpkgs/issues/65718
2019-12-29 21:06:02 +01:00
Florian Klink 0aa09d3c75 simpl_le: 0.16.0 -> 0.17.0 2019-12-29 19:30:31 +01:00
Florian Klink d07796b871 certbot: 0.39.0 -> 1.0.0 2019-12-29 19:30:31 +01:00
Oleksii Filonenko 9b82b11ab6 starship: 0.32.1 -> 0.32.2 2019-12-29 19:13:12 +01:00
Tobias Mayer 3617fdf73b reredirect: init at 0.2 2019-12-29 18:19:10 +01:00
Jaka Hudoklin f3cbe205fd
trash-cli: fix listing files over mount points (#76637) 2019-12-29 13:58:03 +01:00
Frederik Rietdijk 5aed91512d Merge staging-next into staging 2019-12-29 10:20:05 +01:00
Frederik Rietdijk fb66525297 Merge master into staging-next 2019-12-29 10:19:39 +01:00
Domen Kožar 0f85c5bc5f
Merge pull request #76582 from LnL7/darwin-remove-cf-references
darwin.cf-private: remove references
2019-12-28 19:53:05 +01:00
R. RyanTM a86e5f8a37 offlineimap: 7.3.0 -> 7.3.2 (#76353) 2019-12-28 11:45:39 -05:00
Maximilian Bosch 749d51bf35
qgrep: fix darwin and aarch64-linux build
https://hydra.nixos.org/build/108321871
https://hydra.nixos.org/build/108556036

Things done:

* SSE2 isn't available for the aarch64-target of GCC (the package builds
  on aarch64 without those flags and works fine as well).

* Added missing darwin libraries.

* Applied a darwin-specific patch which disables i386 as target platform
  (breaks at least on MacOS catalina otherwise).
2019-12-28 17:20:06 +01:00
Vincent Ambo 102fe729d3 age: init at v1.0.0-beta2
age is a new modern encryption tool with small explicit keys, no
config and UNIX-style composability.
2019-12-28 16:20:40 +01:00
Robin Gloster 8cebd2f6b7
bees: 0.6.1 -> 0.6.2
fixes build with gcc9
2019-12-27 21:54:57 +01:00
David Terry d6be252921
wireguard-tools: 0.0.20191219 -> 1.0.20191226
the userspace wireguard tools have been pulled out into their own repo as
announced in this mailing list post:

https://lists.zx2c4.com/pipermail/wireguard/2019-December/004787.html
2019-12-27 21:02:22 +01:00
Daiderd Jordan 1de5065375
plan9port: remove cf-private
No longer needed since #63381.
2019-12-27 18:36:03 +01:00
Daiderd Jordan 7fafd45ad7
lorri: remove cf-private
No longer needded since #63381.
2019-12-27 18:33:52 +01:00
R. RyanTM de13f9c5a4 stress-ng: 0.10.11 -> 0.10.14 (#76436) 2019-12-27 18:14:15 +01:00
lewo 3ffbbd5951
Merge pull request #76224 from r-ryantm/auto-update/dhcpcd
dhcpcd: 8.1.2 -> 8.1.4
2019-12-27 10:15:34 +01:00
lewo cee8906c5c
Merge pull request #76297 from ruuda/acme-client-0.2.5
acme-client: 0.2.4 -> 0.2.5
2019-12-27 10:14:02 +01:00
Franz Pletz 6d50498b28
trx: 2018-01-23 -> 0.4 2019-12-27 03:50:41 +01:00
Nathan b0caf68bff
maintainer-list.nix: remove ndowens 2019-12-26 16:47:41 -05:00
Maximilian Bosch fa28c7750a
Merge pull request #76442 from r-ryantm/auto-update/tigervnc
tigervnc: 1.10.0 -> 1.10.1
2019-12-26 20:31:01 +01:00
Franz Pletz fac07ceb2a
pbis-open: fix build with gcc9 2019-12-26 16:52:02 +01:00
Maximilian Bosch 9842c4b107
treewide: update which packages I'm currently maintaining
Idea shamelessly stolen from 4e60b0efae.

I realized that I don't really know anymore where I'm listed as maintainer and what
I'm actually (co)-maintaining which means that I can't proactively take
care of packages I officially maintain.

As I don't have the time, energy and motivation to take care of stuff I
was interested in 1 or 2 years ago (or packaged for someone else in the
past), I decided that I make this explicit by removing myself from several
packages and adding myself in some other stuff I'm now interested in.

I've seen it several times now that people remove themselves from a
package without removing the package if it's unmaintained after that
which is why I figured that it's fine in my case as the affected pkgs
are rather low-prio and were pretty easy to maintain.
2019-12-26 15:27:47 +01:00
R. RyanTM 1635c6916e xsecurelock: 1.5.1 -> 1.6.0 (#76478) 2019-12-26 14:03:03 +00:00
Franz Pletz 77b6c3cd06
Merge remote-tracking branch 'origin/master' into gcc-9 2019-12-26 14:17:36 +01:00
Vonfry 8b6bb39d39 offlineimap: add proxy dependency (#76347) 2019-12-26 12:30:57 +01:00
Maximilian Bosch b574b94e20
Merge pull request #76409 from r-ryantm/auto-update/rng-tools
rng-tools: 6.8 -> 6.9
2019-12-26 11:31:05 +01:00
Maximilian Bosch 9ba6cc9ca5
Merge pull request #76452 from zowoq/youtube-dl
youtube-dl: 2019.11.28 -> 2019.12.25
2019-12-26 02:08:30 +01:00
Maximilian Bosch 0201822cc7
Merge pull request #76511 from alyssais/pipelight
pipelight: fix build
2019-12-26 01:48:46 +01:00
Silvan Mosberger 5dc7050bd6
Merge pull request #75400 from nand0p/ethminer-v18
ethminer: 0.18.0-rc.0 -> 0.18.0
2019-12-25 23:56:24 +01:00
Alyssa Ross 349872f778
pipelight: fix build
I removed the "propagatedbuildInputs" line because it's misspelled, and
so will never have worked.  Nobody seems to have complained, so
presumably wasn't necessary.
2019-12-25 18:43:04 +00:00
Mario Rodas 77295f2e01
Merge pull request #76226 from r-ryantm/auto-update/debianutils
debianutils: 4.9 -> 4.9.1
2019-12-25 10:41:47 -05:00
markuskowa add4e5ea66
Merge pull request #76408 from r-ryantm/auto-update/rsnapshot
rsnapshot: 1.4.2 -> 1.4.3
2019-12-25 13:45:59 +01:00
markuskowa 1ba5dce4d5
Merge pull request #76460 from markuskowa/upd-snapper
snapper: 0.8.6 -> 0.8.7
2019-12-25 13:04:30 +01:00
Jörg Thalheim f3b68a724e
bitwarden-cli: move to nodePackages version 2019-12-25 07:20:22 +00:00
Jörg Thalheim 89c55c0a6d
net-snmp: split outputs (#76155)
net-snmp: split outputs
2019-12-25 06:27:55 +00:00
Jan Tojnar 4bbc6cc66f
Merge branch 'staging-next' into staging 2019-12-25 05:18:52 +01:00
Jan Tojnar ca39dd3a8a
Merge branch 'master' into staging-next 2019-12-25 05:15:06 +01:00
Markus Kowalewski fcf2a113e1
snapper: 0.8.6 -> 0.8.7 2019-12-25 01:55:13 +01:00
Aaron Andersen e28074fe95
Merge pull request #76453 from r-ryantm/auto-update/unrar
unrar: 5.8.4 -> 5.8.5
2019-12-24 18:40:53 -05:00
Fernando J Pando 162a95eff2 ethminer: 0.18.0-rc.0 -> 0.18.0 2019-12-24 17:05:10 -05:00
R. RyanTM cb90c9da24 unrar: 5.8.4 -> 5.8.5 2019-12-24 13:51:50 -08:00
zowoq 3cf9a0815f youtube-dl: 2019.11.28 -> 2019.12.25 2019-12-25 07:17:14 +10:00
R. RyanTM e91f467811 tigervnc: 1.10.0 -> 1.10.1 2019-12-24 12:01:35 -08:00
R. RyanTM 3e8cf1007d spectre-meltdown-checker: 0.42 -> 0.43 2019-12-24 10:41:17 -08:00
R. RyanTM 81585636fb rng-tools: 6.8 -> 6.9 2019-12-24 07:10:44 -08:00
R. RyanTM 702863b40f rsnapshot: 1.4.2 -> 1.4.3 2019-12-24 07:03:18 -08:00
R. RyanTM b2ad20d5b5 reuse: 0.6.0 -> 0.7.0 2019-12-24 06:38:51 -08:00
Michael Weiss 477f4460f8
wob: 0.4 -> 0.5 2019-12-24 13:37:07 +01:00
Mario Rodas 75eb26d09e
Merge pull request #76360 from equirosa/croc
croc: 6.4.7 -> 6.4.8
2019-12-24 07:06:25 -05:00
R. RyanTM a06925d8c6 plantuml: 1.2019.12 -> 1.2019.13 2019-12-24 10:23:12 +01:00
Eduardo Quiros 52e490fd19 croc: 6.4.7 -> 6.4.8 2019-12-24 00:36:25 -06:00
R. RyanTM 324a7ede05 moosefs: 3.0.107 -> 3.0.109 2019-12-23 17:56:07 -08:00
R. RyanTM 3bb44f0f9d lftp: 4.8.4 -> 4.9.0 2019-12-23 23:52:28 +01:00
Vladyslav M 12fe4154e1
hcxtools: 5.2.2 -> 5.3.0 (#76264)
hcxtools: 5.2.2 -> 5.3.0
2019-12-23 23:58:53 +02:00
Maximilian Bosch 9754ee33e6
Merge pull request #76284 from r-ryantm/auto-update/ibus-typing-booster
ibus-engines.typing-booster-unwrapped: 2.7.2 -> 2.7.4
2019-12-23 20:54:48 +01:00
Michael Raskin d37b082db7
Merge pull request #76261 from r-ryantm/auto-update/glusterfs
glusterfs: 7.0 -> 7.1
2019-12-23 19:54:34 +00:00
Ruud van Asseldonk 6d4c8730d9 acme-client: 0.2.4 -> 0.2.5 2019-12-23 20:03:02 +01:00
Mario Rodas c652837238
Merge pull request #76273 from r-ryantm/auto-update/fwup
fwup: 1.5.0 -> 1.5.1
2019-12-23 12:32:35 -05:00
R. RyanTM d3bbcac638 ibus-engines.typing-booster-unwrapped: 2.7.2 -> 2.7.4 2019-12-23 09:08:50 -08:00
R. RyanTM 28b3551893 fwup: 1.5.0 -> 1.5.1 2019-12-23 06:52:16 -08:00
R. RyanTM 6b340de7de hcxtools: 5.2.2 -> 5.3.0 2019-12-23 06:03:24 -08:00
Mario Rodas 23e181fe61
Merge pull request #76223 from r-ryantm/auto-update/diffoscope
diffoscope: 131 -> 133
2019-12-23 08:48:39 -05:00
Mario Rodas eabdecceb9
Merge pull request #76218 from r-ryantm/auto-update/asymptote
asymptote: 2.60 -> 2.61
2019-12-23 08:45:07 -05:00
R. RyanTM d1d2c4dce0 glusterfs: 7.0 -> 7.1 2019-12-23 05:40:24 -08:00
Mario Rodas 4c6500cf9d
Merge pull request #76219 from r-ryantm/auto-update/autorandr
autorandr: 1.8.1 -> 1.9
2019-12-23 04:48:20 -05:00
Jörg Thalheim d642db53f2
fio: 3.16 -> 3.17 (#76237)
fio: 3.16 -> 3.17
2019-12-23 09:47:59 +00:00
Domen Kožar 2004784aa4
datadog-agent: enable secrets and allow extending tags 2019-12-23 10:43:15 +01:00
R. RyanTM f45cf8fb36 fio: 3.16 -> 3.17 2019-12-23 01:27:14 -08:00
R. RyanTM 1980044155 debianutils: 4.9 -> 4.9.1 2019-12-22 22:51:16 -08:00
R. RyanTM 0bc45ecba1 dhcpcd: 8.1.2 -> 8.1.4 2019-12-22 22:32:49 -08:00
R. RyanTM aba080b5bc diffoscope: 131 -> 133 2019-12-22 22:28:33 -08:00
R. RyanTM 0b2834825a autorandr: 1.8.1 -> 1.9 2019-12-22 21:48:00 -08:00
R. RyanTM 2d84be73e1 asymptote: 2.60 -> 2.61 2019-12-22 21:44:37 -08:00
Jörg Thalheim dcd2230ed2
bindfs: 1.14.1 -> 1.14.2 (#76207)
bindfs: 1.14.1 -> 1.14.2
2019-12-23 04:45:40 +00:00
R. RyanTM 5ae32c796f bindfs: 1.14.1 -> 1.14.2 2019-12-22 19:47:39 -08:00
Maximilian Bosch a69908f0db
Merge pull request #76194 from lilyball/bat
bat: insert less into PATH
2019-12-23 02:54:16 +01:00
Lily Ballard 8a9fb54f07 bat: insert less into PATH
The default pager for `bat` is `less -RF --no-init`. Overriding this to
`less -RF` in order to enable alternate screen behavior (e.g. page
up/down keys) causes the `-F` flag to behave badly in the version of
`less` shipped on macOS. The simplest way to fix this is to simply put
Nix's `less` into the `PATH` so it takes precedence.
2019-12-22 17:25:31 -08:00
worldofpeace 144973a7e0
Merge pull request #76192 from worldofpeace/pantheon-update-script
Pantheon update script
2019-12-22 18:56:13 -05:00
Mario Rodas 87b9eaa847
Merge pull request #75207 from r-ryantm/auto-update/ddcutil
ddcutil: 0.9.7 -> 0.9.8
2019-12-22 18:24:26 -05:00
worldofpeace 2dd7d4dd44 treewide: pantheon updateScript 2019-12-22 18:20:55 -05:00
Mario Rodas b7c11eeb97
Merge pull request #76164 from marsam/update-chezmoi
chezmoi: 1.7.7 -> 1.7.9
2019-12-22 18:15:26 -05:00
Mario Rodas 3bb6cf1598
Merge pull request #76163 from marsam/update-clair
clair: 2.0.9 -> 2.1.2
2019-12-22 18:13:25 -05:00
Mario Rodas 020c688d4e
Merge pull request #76161 from marsam/update-nfpm
nfpm: 1.1.5 -> 1.1.8
2019-12-22 18:13:06 -05:00
Mario Rodas 2f2047c378
Merge pull request #75196 from r-ryantm/auto-update/bubblewrap
bubblewrap: 0.3.3 -> 0.4.0
2019-12-22 18:11:52 -05:00
Oleksii Filonenko 3715a75d16
starship: remove openssl from buildInputs
No longer necessary, as v0.32.1 started using rustls.
d1b725a47c
2019-12-22 18:07:58 +02:00
Oleksii Filonenko 8af37a3bf0
starship: 0.31.0 -> 0.32.1 2019-12-22 17:58:21 +02:00
Jörg Thalheim 8a57552c18
nixpkgs-review: 2.1.0 -> 2.1.1
changelog: https://github.com/Mic92/nixpkgs-review/releases/tag/2.1.1
2019-12-22 09:26:57 +00:00
Jörg Thalheim aadfcc0900
nixos/keepalived: add test 2019-12-22 08:52:56 +00:00
Mario Rodas d8eba2d7e0
chezmoi: 1.7.7 -> 1.7.9 2019-12-22 00:00:00 -05:00
Mario Rodas 932f5f6b88
clair: 2.0.9 -> 2.1.2 2019-12-22 00:00:00 -05:00
Mario Rodas cb72526cdd
nfpm: 1.1.5 -> 1.1.8 2019-12-22 00:00:00 -05:00
Jörg Thalheim 725f85e271
net-snmp: rename from net_snmp 2019-12-21 22:56:08 +00:00
Jörg Thalheim 475aecef6a
argus: flex & bison belongs to nativeBuildInputs 2019-12-21 22:56:06 +00:00
Jörg Thalheim 2ce0f06a7c
keepalived: 1.4.5 -> 2.0.19 2019-12-21 22:56:03 +00:00
worldofpeace e203d58403
Merge pull request #75583 from worldofpeace/nm-applet-default-gnome
networkmanagerapplet: default to withGnome
2019-12-21 11:58:05 -05:00
Tim Steinbach 2095b4de34
Merge pull request #76121 from zowoq/miniserve
miniserve: 0.2.1 -> 0.5.0
2019-12-21 08:49:55 -05:00
zowoq 6aaf5f49ea miniserve: 0.2.1 -> 0.5.0 2019-12-21 13:43:12 +10:00
zowoq bd3c7cd6e0 fzf: 0.19.0 -> 0.20.0 2019-12-21 13:30:39 +10:00
Maximilian Bosch ca70dc27f7
Merge pull request #72595 from r-ryantm/auto-update/ocserv
ocserv: 0.12.4 -> 0.12.5
2019-12-20 23:50:19 +01:00
Alyssa Ross 7887a81193
treewide: fix cargoSha256 (again)
cargo-vendor output was changed in a4fc84de44.
2019-12-20 20:08:53 +00:00
Alyssa Ross 7e1a3d01d7 highlight: export Perl bindings
Fails to build on Darwin:

clang++ -shared -s highlight_wrap.o  -L../../src/ -lhighlight -L/nix/store/bi85dm5dqzjfm0i9qj3ijjj9w9xayy8w-lua-5.2.4/lib -llua -lm -o highlight.so
ld: warning: option -s is obsolete and being ignored
Undefined symbols for architecture x86_64:
  "_PL_markstack_max", referenced from:
      __wrap_DataDir_getLangPath in highlight_wrap.o
      __wrap_DataDir_getThemePath in highlight_wrap.o
      __wrap_DataDir_getFiletypesConfPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath in highlight_wrap.o
      __wrap_DataDir_guessFileType in highlight_wrap.o
      __wrap_SyntaxReader_initLuaState in highlight_wrap.o
      __wrap_new_RegexElement in highlight_wrap.o
      ...
  "_PL_markstack_ptr", referenced from:
      __wrap_DataDir_assocByExtension_set in highlight_wrap.o
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_set in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_set in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      __wrap_DataDir_initSearchDirectories in highlight_wrap.o
      ...
  "_PL_stack_base", referenced from:
      __wrap_DataDir_assocByExtension_set in highlight_wrap.o
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_set in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_set in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      __wrap_DataDir_initSearchDirectories in highlight_wrap.o
      ...
  "_PL_stack_sp", referenced from:
      __wrap_DataDir_assocByExtension_set in highlight_wrap.o
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_set in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_set in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      __wrap_DataDir_initSearchDirectories in highlight_wrap.o
      ...
  "_PL_sv_immortals", referenced from:
      __wrap_DataDir_getLangPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getSystemDataPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_1 in highlight_wrap.o
      SWIG_AsVal_bool(sv*, bool*) in highlight_wrap.o
      ...
  "_Perl_croak", referenced from:
      SWIG_croak_null() in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getLangPath in highlight_wrap.o
      __wrap_DataDir_getSystemDataPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath__SWIG_0 in highlight_wrap.o
      ...
  "_Perl_get_sv", referenced from:
      __wrap_DataDir_assocByExtension_set in highlight_wrap.o
      SWIG_croak_null() in highlight_wrap.o
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_set in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_set in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      ...
  "_Perl_gv_add_by_type", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_gv_init_pvn", referenced from:
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_hv_common", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_hv_common_key_len", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_markstack_grow", referenced from:
      __wrap_DataDir_getLangPath in highlight_wrap.o
      __wrap_DataDir_getThemePath in highlight_wrap.o
      __wrap_DataDir_getFiletypesConfPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath in highlight_wrap.o
      __wrap_DataDir_guessFileType in highlight_wrap.o
      __wrap_SyntaxReader_initLuaState in highlight_wrap.o
      __wrap_new_RegexElement in highlight_wrap.o
      ...
  "_Perl_mg_find", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      _boot_highlight in highlight_wrap.o
  "_Perl_mg_get", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      SWIG_AsVal_bool(sv*, bool*) in highlight_wrap.o
  "_Perl_newRV_noinc", referenced from:
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_newSV", referenced from:
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_newSV_type", referenced from:
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_newSViv", referenced from:
      __wrap_SyntaxReader_load in highlight_wrap.o
      __wrap_SyntaxReader_getKeywordListGroup in highlight_wrap.o
      __wrap_SyntaxReader_getPersistentSnippetsNum in highlight_wrap.o
      __wrap_SyntaxReader_getOpenDelimiterID in highlight_wrap.o
      __wrap_RegexElement_open_get in highlight_wrap.o
      __wrap_RegexElement_end_get in highlight_wrap.o
      __wrap_RegexElement_capturingGroup_get in highlight_wrap.o
      ...
  "_Perl_newSVuv", referenced from:
      __wrap_SyntaxReader_getRawStringPrefix in highlight_wrap.o
      __wrap_SyntaxReader_getContinuationChar in highlight_wrap.o
      __wrap_RegexElement_kwClass_get in highlight_wrap.o
      __wrap_RegexElement_priority_get in highlight_wrap.o
      __wrap_RegexElement_constraintLineNum_get in highlight_wrap.o
      __wrap_ReGroup_length_get in highlight_wrap.o
      __wrap_ReGroup_kwClass_get in highlight_wrap.o
      ...
  "_Perl_newXS", referenced from:
      _boot_highlight in highlight_wrap.o
  "_Perl_sv_2bool_flags", referenced from:
      SWIG_AsVal_bool(sv*, bool*) in highlight_wrap.o
  "_Perl_sv_2iv_flags", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      SWIG_AsVal_unsigned_SS_int(sv*, unsigned int*) in highlight_wrap.o
      _boot_highlight in highlight_wrap.o
      SWIG_AsVal_long(sv*, long*) in highlight_wrap.o
      SWIG_AsVal_double(sv*, double*) in highlight_wrap.o
  "_Perl_sv_2mortal", referenced from:
      __wrap_SyntaxReader_load in highlight_wrap.o
      __wrap_SyntaxReader_getRawStringPrefix in highlight_wrap.o
      __wrap_SyntaxReader_getContinuationChar in highlight_wrap.o
      __wrap_SyntaxReader_getKeywordListGroup in highlight_wrap.o
      __wrap_SyntaxReader_getPersistentSnippetsNum in highlight_wrap.o
      __wrap_SyntaxReader_getOpenDelimiterID in highlight_wrap.o
      __wrap_RegexElement_open_get in highlight_wrap.o
      ...
  "_Perl_sv_2nv_flags", referenced from:
      SWIG_AsVal_double(sv*, double*) in highlight_wrap.o
  "_Perl_sv_2pv_flags", referenced from:
      SWIG_croak_null() in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getSystemDataPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_1 in highlight_wrap.o
      ...
  "_Perl_sv_2uv_flags", referenced from:
      SWIG_AsVal_unsigned_SS_int(sv*, unsigned int*) in highlight_wrap.o
      SWIG_AsVal_long(sv*, long*) in highlight_wrap.o
  "_Perl_sv_bless", referenced from:
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_sv_free2", referenced from:
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_sv_isobject", referenced from:
      SWIG_Perl_ConvertPtr(sv*, void**, swig_type_info*, int) in highlight_wrap.o
      SWIG_croak_null() in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getSystemDataPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath__SWIG_0 in highlight_wrap.o
      ...
  "_Perl_sv_magic", referenced from:
      _boot_highlight in highlight_wrap.o
      SWIG_Perl_MakePtr(sv*, void*, swig_type_info*, int) in highlight_wrap.o
  "_Perl_sv_newmortal", referenced from:
      __wrap_DataDir_assocByExtension_set in highlight_wrap.o
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_set in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_set in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      __wrap_DataDir_initSearchDirectories in highlight_wrap.o
      ...
  "_Perl_sv_setiv", referenced from:
      _boot_highlight in highlight_wrap.o
  "_Perl_sv_setpvf", referenced from:
      __wrap_DataDir_assocByExtension_set in highlight_wrap.o
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_set in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_set in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      __wrap_DataDir_initSearchDirectories in highlight_wrap.o
      ...
  "_Perl_sv_setpvn", referenced from:
      __wrap_DataDir_getLangPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getSystemDataPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_2 in highlight_wrap.o
      ...
  "_Perl_sv_setref_pv", referenced from:
      __wrap_DataDir_assocByExtension_get in highlight_wrap.o
      __wrap_DataDir_assocByFilename_get in highlight_wrap.o
      __wrap_DataDir_assocByShebang_get in highlight_wrap.o
      __wrap_SyntaxReader_getKeywords in highlight_wrap.o
      __wrap_SyntaxReader_getKeywordClasses in highlight_wrap.o
      __wrap_SyntaxReader_getRegexElements in highlight_wrap.o
      __wrap_SyntaxReader_getPersistentSnippets in highlight_wrap.o
      ...
  "_Perl_sv_setsv_flags", referenced from:
      __wrap_DataDir_getLangPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getLangPath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getSystemDataPath in highlight_wrap.o
      __wrap_DataDir_getPluginPath__SWIG_0 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_1 in highlight_wrap.o
      __wrap_DataDir_getThemePath__SWIG_2 in highlight_wrap.o
      ...
ld: symbol(s) not found for architecture x86_64
clang-7: error: linker command failed with exit code 1 (use -v to see invocation)
2019-12-20 19:47:42 +00:00
Daiderd Jordan 116ef2a717
Merge pull request #76092 from terlar/fix-awscli
awscli: pin colorama to 0.4.1
2019-12-20 16:07:56 +01:00
José Romildo Malaquias 306c31afed volctl: init at 0.6.2 2019-12-20 16:03:10 +01:00
Terje Larsen 7a99d1e392
awscli: pin colorama to 0.4.1
This is pinned to 0.4.1 in the upstream awscli package.
2019-12-20 14:23:12 +01:00
Oleksii Filonenko 2149652a47 starship: 0.30.1 -> 0.31.0 2019-12-20 13:35:47 +01:00
Pamplemousse b76720f893 gobuster: init at 3.0.1 (#75902) 2019-12-20 10:28:15 +01:00
Alexander Rodin c0628397e1 vector: link rdkafka dynamically 2019-12-19 20:08:51 -06:00
Alexander Rodin db3fdd01b0 vector: enable rdkafka feature 2019-12-19 20:08:51 -06:00
Mario Rodas a85c8d87c2
Merge pull request #75728 from marsam/add-docker-credential-helpers
docker-credential-helpers: init at 0.6.3
2019-12-19 19:18:48 -05:00
Michael Weiss bf29ba5a7f
Merge pull request #75660 from primeos/rav1e
rav1e: init at 0.2.0
2019-12-19 15:30:32 +01:00
David Wood 71fc8af9e9 mdcat: 0.13.0 -> 0.14.0
Signed-off-by: David Wood <david.wood@codeplay.com>
2019-12-19 14:33:28 +01:00
Michael Weiss fa09b64bc0
rav1e: init at 0.2.0 2019-12-19 14:04:09 +01:00
Ivan Kozik cbd67719fd wireguard-tools: 0.0.20191212 -> 0.0.20191219 2019-12-19 00:30:02 -08:00
Frederik Rietdijk 39037a3431 Merge staging-next into staging 2019-12-19 09:21:24 +01:00
Frederik Rietdijk f79e10061f Merge master into staging-next 2019-12-19 09:19:50 +01:00
Mario Rodas 7315dc071c vault: 1.3.0 -> 1.3.1 2019-12-18 22:54:45 -08:00
Franz Pletz f2b55f2827
Merge pull request #75915 from marsam/update-fluent-bit
fluent-bit: 1.3.4 -> 1.3.5
2019-12-19 03:42:26 +00:00
Franz Pletz 81346597e9
Merge pull request #75912 from grahamc/python3/mailutils
mailutils: 3.2 -> 3.8
2019-12-19 03:42:03 +00:00
Mario Rodas 70106982dd
fluent-bit: 1.3.4 -> 1.3.5 2019-12-18 20:20:20 -05:00
Graham Christensen e19e95d86d
mailutils: 3.2 -> 3.8 2019-12-18 20:05:57 -05:00
Maximilian Bosch b7d46b9571
Merge pull request #75874 from emmanuelrosa/ripgrep-all-deps
ripgrep-all: add missing dependencies
2019-12-19 01:58:10 +01:00
Jan Tojnar b171037944
Merge pull request #75668 from jtojnar/py2-cleanup
Another batch of Python 2 removals
2019-12-19 01:24:00 +01:00
Mario Rodas 0fc687073d
Merge pull request #75826 from cust0dian/restic-0.9.6
restic: 0.9.5 -> 0.9.6
2019-12-18 18:01:44 -05:00
Emmanuel Rosa c3c072ad17 ripgrep-all: add checkInstall test
This change adds a checkInstallPhase which runs a couple of queries
to smoke-test the ripgrep-all adapters. The queries are intended to
ensure that the dependencies needed by the adapters are included in
the package's dependencies.
2019-12-18 21:17:59 +07:00
Emmanuel Rosa 727b097c78 ripgrep-all: add missing dependencies
This change adds additional dependencies so that the program's full
functionality is available by simply installing this package.

Closes #75735
2019-12-18 17:48:38 +07:00
Sascha Grunert 0e182006c1
slirp4netns: v0.4.2 -> v0.4.3
Signed-off-by: Sascha Grunert <sgrunert@suse.com>
2019-12-18 09:09:11 +01:00
Matthew Bauer b39bc2943b
Merge pull request #74860 from alyssais/minijail
minijail: install .pc files and scoped_minijail.h
2019-12-17 14:48:02 -05:00
Serg Nesterov f9b7209e29
restic: 0.9.5 -> 0.9.6 2019-12-17 20:38:08 +03:00
Frederik Rietdijk bf2877b9ab Merge master into staging-next 2019-12-17 17:16:52 +01:00
Michael Raskin 26df2f4e9e
Merge pull request #74862 from alyssais/fetchgittiles
fetchFromGitiles: init
2019-12-17 15:23:08 +00:00
Michael Raskin e726201cc8
Merge pull request #52352 from hyperfekt/init_crosvm
crosvm: init at 77.0.3865.105
2019-12-17 15:22:14 +00:00
Mario Rodas 26eecab3ca
Merge pull request #75404 from filalex77/fusuma-1.3.0
fusuma: 0.10.2 -> 1.3.0
2019-12-16 22:42:29 -05:00
Mario Rodas 4419653793
Merge pull request #75775 from equirosa/croc
croc: 6.4.6 -> 6.4.7
2019-12-16 22:22:06 -05:00
Frederik Rietdijk 7aedd744d8 Merge master into staging-next 2019-12-16 20:28:10 +01:00
Dmitry Kalinkin 7891f3d706
Merge pull request #75730 from veprbl/pr/texlive_bin_pygmentex
texlive: provide a working pygmentex executable
2019-12-16 13:21:24 -05:00
Eduardo Quiros 93a51b8d1e croc: 6.4.6 -> 6.4.7 2019-12-16 11:29:24 -06:00